|
Single end--->單端輸入(從P端輸入)
: Q) { e+ W0 I* F3 uDifferential--->差動輸入(LVDS,,等)
7 c: E3 {& S3 P) L2 @7 u9 h如果CLOCK頻率不是很高,可採單端輸入GCLK pin,再從內部去除出所要的CLOCK頻率.; @, E9 M8 r) I, v6 u
) O6 O0 |7 U% y: t- v
若要用DCM,從Xilinx Architecture Wizard(在ISE Accessories--->Architecture Wizard)去自動產生所要的CLOCK頻率.Wizard 會產生 .vhd,.xaw,.ucf檔.把.xaw加入design.(利用ISE add source)以下是以單一個DCM instance作例子.6 K4 |1 H' c* }9 y1 s: w( b. w8 n
2 X8 ^' `" }1 [( V* h/ gEX: (輸入75MHz--->>輸出50MHz)$ C( s, T5 q0 M. a1 e: h* C2 m
entity ClockManageris
5 L* E7 T- H% I) pPort ( clk_50mhz : in std_logic;' W. D1 i5 S4 G9 ^ U8 K
clk_75mhz : out std_logic;( E7 {1 C1 q, E0 O9 N6 V, y
clk_75mhz_180 : out std_logic);/ L4 P8 i) b% M! V2 X- q6 I
end ClockManager;
8 l$ d+ [/ B: V( carchitecture Behavioral of ClockManageris k" P8 q2 V; e( w8 \ L7 X
component clkgen_75mhz0 o; H! T- c, i1 Q
port ( CLKIN_IN : in std_logic;& ?( Q9 J3 o T
RST_IN : in std_logic;
- {3 f- d: D& v8 o% J# ` E& ^2 vCLKFX_OUT : out std_logic;
- }6 N5 M0 e2 F" R: I, UCLKFX180_OUT : out std_logic;
" @5 }) A8 I3 {CLKIN_IBUFG_OUT : out std_logic;" z' n1 V% o" t( i
LOCKED_OUT : out std_logic);
3 l ?: D3 I* X3 Q/ yend component;
5 t4 D7 w1 Y1 I$ ^begin* U R: u+ V# }
gen_75mhz: clkgen_75mhz
& G- X8 g2 h, u1 W- Fport map( CLKIN_IN => clk_50mhz,
% ]9 p" ~+ x/ a* O' |RST_IN => '0',
) b9 ]& @# k, }' z1 m1 K# e3 ^CLKFX_OUT => clk_75mhz,, e9 D, {* p, y/ t* m2 d9 r# V& k4 d
CLKFX180_OUT => clk_75mhz_180,
# e$ G5 q% i/ J% Q7 X- R( d" mCLKIN_IBUFG_OUT => open,
+ S/ C) k1 @- T' E, t6 g2 K) c9 FLOCKED_OUT => open );! o$ O' J2 X) T0 n1 K( P i7 Y
end Behavioral; |
|