Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 2901|回復: 2
打印 上一主題 下一主題

徵求6X64記憶體VHDL

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-8 00:01:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
徵求記憶體6-bit data in 64個字組,不過不要用陣列的方式,很感謝大家提共意見,不過都不是實際記憶體電路的VHDL,我想要的是一個column decoder,一個row decorder,使用port map latch 64個,輸出要使用三態閘,個人想法如下:$ h' B0 l' W2 r) S! e! i# s) y3 w% Y" G
LIBRARY ieee;
" |+ q  p2 c- z( X3 BUSE ieee.std_logic_1164.all;. J% P& }  H/ _2 L3 W( c( Z
USE ieee.std_logic_arith.all;8 b' u1 p$ |0 }5 B0 p! w2 F

1 b3 P" l! z$ r  d* \ENTITY memory_64 IS
* i' C2 V0 Q6 I2 F( h  s   PORT( ( e; F4 E3 {+ p( {/ R
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
* G: X8 K& T+ X      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );( J* _  o# h: H2 r/ O8 z
      clr_l    : IN     std_logic;+ s9 w* b' G# p; |/ |
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )2 j. L" f, ~, r5 |8 [
   );% k- i' W( Q* R" w
$ ?" e" F/ T4 w7 I' T1 D3 ~
-- Declarations
0 g: ?6 S! Z( q# X, L, j0 g- u: [) E# W, a: z* ^
END memory_64 ;3 ?  @$ A* I1 z- p+ V# C6 |

6 w7 U2 u0 b, j' H( x8 N* L3 p9 c--9 Y% w+ k1 Q' h% ?3 q0 |
ARCHITECTURE arch OF memory_64 IS8 d- Y4 {9 L4 K( B
-- column decoder& x- w0 B+ n+ r( N- i
component mem_coldec
4 R$ t8 c# x0 {  u2 P5 S   PORT( ! K1 z5 t0 m- D& t- C! }) O: u- V
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
$ _1 c! |0 m( Q* q3 i" q      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )  |% O8 C' Y- g9 K9 F2 y
   );0 e6 X. c& x8 {7 F' r* @
end component;
1 x" c8 \, k) Q& Z. o) l-- row decoder1 R# @* `: t$ ^: j) s
component mem_rowdec, O  _- Z$ i  H+ R4 z& x' D& s0 P8 R
   PORT(
5 }1 o; H9 @( ^      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );/ r& J: `1 Z0 ~3 i' f1 Z
      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
8 @) z2 c" N2 H, I5 q   );
* \; W! {6 v. m1 n1 B( Zend component;   
' Q. O, W% r" F: v2 A-- latch array   
, F( F0 g: g, W$ \6 Vcomponent latch_cell' s, V0 A) L: N3 f$ }# d
     PORT(
3 w/ M9 S  p  H7 S7 n( y' }  U        clr_l    : IN     std_logic;3 e  z+ q% F' T8 c, U9 ~- X& ?9 e
        col_sel  : IN     std_logic;# X" v, v* C+ D! L
        row_sel  : IN     std_logic;        
8 }6 E/ W5 J3 ^) P        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
0 M3 S; v, |& s! k        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )( R! ]4 }2 K  g9 k+ w
     );# k# N. q+ G0 _# o3 T* a7 c
end component;   
7 i8 m  v- g* Z% r4 M3 J+ c& T! M( ?- V9 h8 b6 Y. \" r
signal smem_out : std_logic_vector ( 5 downto 0 );9 P1 z* c# l' c
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );% v8 {7 d) |6 }, r/ A  W6 j0 S
BEGIN
  ~$ |. S  X2 L3 v# t  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
) b* e2 ~6 V, v  l# O5 P9 d1 g  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);
  \- d$ P' P0 }- f$ y8 W$ p& L% Y1 I  g0 : for i in 7 downto 0 generate -- column generate
9 z! j& ^' N0 ?2 H    g1 : for j in 7 downto 0 generate -- row generate9 I2 a% j3 r  z# w) k$ T
         u_2 : latch_cell- J2 U0 P& ^8 y, H1 f4 F
         port map(
  N% L% y: G* k4 b4 f( K         col_sel => col_sel(j),3 [9 r0 C+ [) W9 q% P& [* Y
         row_sel => srow_sel(i),( p4 E: h& L) d. A" O
         data_in => mem_in,  x4 Y: \0 o$ ^% `% t& y
         data_out => mem_out(i)6 t. z+ R+ g# s6 J
         );3 V8 i; o7 f7 U, ^5 y
    end generate; + W0 J' n6 f4 P+ C! P
  end generate;2 Q" |, D$ ~4 [# b+ `# _. L3 y
END ARCHITECTURE arch;
2 p( y% @# ^6 e" ~! @1 k1 A6 b: f+ N不過模擬很久,始終沒辦法寫進我想要寫的位址,試寫了很久,但是始終寫不出來,所以請大家幫個忙,不然那些範例網路上都有,有點急,請大家廣發建議,感謝大家!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-9 03:15:53 | 只看該作者

Try to read how other people working on ram using VHDL

http://tiny-tera.stanford.edu/ti ... ibm-ssram-dist-1.1/
) j8 U8 g# i* W5 C6 H  x3 K
/ }3 U9 J. Q& z* X/ `4 FYou are designing ASIC ram? or use it in FPGA?
3#
 樓主| 發表於 2008-3-9 08:05:19 | 只看該作者
Thanks for your solutions!
) U$ w" r1 q( M- P+ _* g/ h4 s
' P  C9 U# A& ^$ TThat is what i want it!5 W% }* T) y0 a1 p/ G
9 x2 B' J- {8 Q
This question already slved, please do not reply anymore.
& X; v" T# v3 Z0 N- e% E* r) |6 U& v% e6 ~  V# c  |
Thanks again...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-18 06:53 AM , Processed in 0.140400 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表