Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3404|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:
0 t) ]0 _- P: r+ J9 O# E+ R我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
8 I4 }6 S" C, `) q6 H0 z  D1 N
% h+ Z& ?/ e$ [- j9 j$ y/ B. o2 F  p, RLIBRARY ieee;
8 H, r) U, j; M. N( H' JUSE ieee.std_logic_1164.all;. |: U- T: h6 j9 ^3 w
USE ieee.std_logic_arith.all;
1 y1 |& Q/ w- W  q3 ]% g: v; a$ @" T9 ^- n; q) L7 Z! c
ENTITY memory_64 IS
! c" g- x' N  r0 ?6 |   PORT(
$ g* j. q8 H3 z+ {      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
$ _# G* d; I4 B. W; n3 A! C      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );  Q, t6 m; ]6 Y$ e& I6 U/ {" Y
      clr_l    : IN     std_logic;0 U3 D3 U& U" N6 `* ]+ [: k0 ]
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 ), Q$ T0 E0 p( x0 m. B
   );
2 e* e! Q) {7 R9 J  T$ s6 U% S  C$ {' G( Q# A0 S% d* _& S
-- Declarations) Q* ^) Q7 M' H0 X: Q* r
3 v( s" ^; {( \  Z4 y
END memory_64 ;+ s1 Y: f: A8 F& Z  h' f! x

: m; U! e# }* N5 R5 i% y--6 [5 i% k) x5 G: I
ARCHITECTURE arch OF memory_64 IS- `' I3 o- F! B: F6 s  P5 |& O2 f
-- column decoder; Z. g0 ]* D( B' j$ L. o1 c- t
component mem_coldec# d7 a( U) W7 g5 H: B$ z
   PORT( : B2 F- l# d$ O/ t' x1 M- O6 n3 [
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );+ k: B$ l, j$ A. F8 x6 w
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 ). [9 s1 {2 o" _3 x9 W& [6 J
   );8 @  M/ y/ U& i! ~+ J* V
end component;- q3 Y0 M0 B) a  p6 d; H7 I1 ^
-- row decoder: ^8 A. C7 ^. {/ s
component mem_rowdec7 y* e9 X8 d! w4 S$ }/ x
   PORT(
- q0 B6 |7 i" P7 m" x      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
+ I( F" n8 J/ D6 j      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )& Q( {5 S1 M: o% `) ?
   );
3 V* C: U* l. U! Y. d3 I0 g# Bend component;   $ }3 U" T; ]2 \6 q; ~/ j
-- latch array   " F& j3 h9 D' \3 j" F9 b, N( |
component latch_cell
3 I) Z. q& T6 i: T/ N     PORT( # ?2 m# g) @0 A8 T) }
        clr_l    : IN     std_logic;
) o, @$ z2 K' A5 C( j, M        col_sel  : IN     std_logic;
& ~6 L1 d" W; I6 G/ @        row_sel  : IN     std_logic;        # S5 B0 f2 ~! I% D1 A* n  _
        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );5 }& @6 ~5 f0 w- F& z
        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )# O# E. R# b- F
     );
8 U. W# T4 {2 k& f+ ]end component;   
( L. ~) n. @8 e. t6 Z  N7 G0 }5 o, M0 i$ H5 X, [5 t
signal smem_out : std_logic_vector ( 5 downto 0 );- Z5 U+ `& d" G1 Q2 e8 ]
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );! @( t9 h3 S- K) P
BEGIN- h1 R0 U. C0 z5 K/ H
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
; g! a6 v/ ?5 U& l4 S, ~  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);6 g! H7 O' L7 K* Y
  g0 : for i in 0 to 7 generate -- column generate
8 P4 N; t- c) g  Z* U  Y    g1 : for j in 0 to 7 generate -- row generate8 Y" r% U2 Y8 i0 o/ I" |
         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
% F! q' {  R. |. t' i  ?. J    end generate;
8 x) M7 C$ N; W  end generate;
2 j9 L% Y& l0 q( y; k, c9 j' t7 XEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好
+ G2 a, s; q! Y* f1 F' j不用將address分成row跟column
/ O# s1 m7 _% ]* X; |0 J這樣只是將問題複雜化而已
+ T) P* B4 r$ @$ F你可以想成有64個6 bit的暫存器,來組成你要的ram
' A: [7 o# B  t
0 {& `8 s( F: c6 G用陣列寫法,code比較簡潔,建議使用
. t+ ~3 X7 S2 U/ v3 r以下有範例,請參考
  G# O" ^/ ~4 n3 B( e; ^http://www.doulos.com/knowhow/vh ... s/simple_ram_model/
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好: \* ?% p8 P6 r! `& Z: M5 P$ s( s
不曉得你為甚麼要捨近求遠2 o. `8 v, ?. W  V! t/ c
可以說說這麼做的原因嗎?/ n. ]; h( Z4 ?- V3 d# v
還有這是要做甚麼樣子的應用
/ b; t; k3 X' R$ {+ Q& u謝謝
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM8 K, d3 ^/ O  Z% K4 T8 x
; u- @  \8 V: {; s  z  I0 i
沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-19 03:09 AM , Processed in 0.156001 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表