Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4756|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
  L- L; V' O; A9 A9 s//==================================================//
1 u3 Z2 v0 ]4 Y7 J/ M. X3 u% ~`timescale 1 ns/1 ns
& o, }/ t9 a' [# t5 C& B  I* t/ k5 ~5 r! a
  module  test_001(
3 c1 r; k1 r- W% h4 i7 ?% }' \% b                   D,- X- D. [7 L9 e# R$ D
                   Q,
, ~& H' a4 {2 ]. m6 x" v                   clk,
- ]; G1 o* B0 p* k                   reset,  }) F0 D- E  C1 F6 [) B5 Y# a
                   QB
6 G4 k! y# L' a/ S( r; M! q                   );
4 K8 s' b, V# Sinput   reset, clk;
2 f' _# n' e  c. Oinput   [3:0] D;
! t; A+ {- G5 l7 q0 x0 joutput  [7:0] Q;
: K$ a7 g: V& B4 J7 E5 L: Ioutput  [7:0] QB;+ a( `/ A* g) |2 |* v
wire    [7:0] Q;2 Z2 {/ K( U9 N$ A; g7 C( ]6 O
wire    [7:0] QB;& y7 G3 V5 o2 W/ j
reg     [7:0] X;
$ s! E2 u" Y9 T% f0 }; Z) Oreg     [7:0] a;4 L/ l# ]% e+ ?& e- ~

% [0 T  P0 a% C( j" K4 z/ W: m  y# Z: ~% i% H4 S1 u
+ v" t; B) \5 }* e

! g8 _  O( \. Salways@(D); d8 Y# L0 ~/ D% G+ l9 ?
  begin- c. Q" g( s, J& Y' }
         case(D)
5 P$ B- V* I# }- M& u             4'b0000   :  X = 8'b0000_0000;* @3 I7 m8 {2 L0 T
             4'b0001   :  X = 8'b0000_0011;/ H/ T7 |, s5 r
             4'b0010   :  X = 8'b0000_1100;
8 W, ]5 e( X$ ^" D9 U4 v" c             4'b0100   :  X = 8'b0011_0000;
( |9 p  B* i: {$ G0 f             4'b1000   :  X = 8'b1100_0000;
# c$ Z* F2 W* ]7 p  c             default   :  X = 8'b1100_0011;* ~5 |+ i. N7 v! `
         endcase    ( R3 H4 f6 A5 j1 A' A6 w. Z
  end            
8 \8 i" A; b# O, S  
2 x* m2 h+ X  r- R3 N/ _9 massign  Q =   a;4 G! n1 c1 c5 }
assign  QB = ~a;5 t2 d0 {) [9 c1 d- [/ M% @4 ~- R
             4 c" s* I& B, c
always@(posedge clk or negedge reset)
! a" ?! g( j7 s" J  begin( L# P  M4 z( |0 Z& {/ [
     if(!reset)
' r& B& e6 l- j          a = #1 1'b0;; Y3 N+ K7 M) _" x$ p
     else  \/ s+ a" h- L; u  p; L8 ~
          a = #1 X;
& Z' r7 P, P6 I! f  end                                 
: {- E& _! Z9 a: A6 C1 ~7 [; ~   
1 N; s0 I- q  A; J7 n* M! r  endmodule+ V0 p$ _9 s% S: s+ m! [6 `" m
//===========================================================//
0 U& H9 X3 _3 B0 D: T$ {# E然後以下是Quartus產生的qsf檔。
# ?; ]- g7 u( e& F3 {2 n0 @8 d/ V2 b//===========================================================//3 \' [# h* E: [3 W4 k8 t) U0 N2 U: I4 I
# Copyright (C) 1991-2006 Altera Corporation1 f% ?! J  H0 k0 G1 F* r
# Your use of Altera Corporation's design tools, logic functions
) f- e- O; b' ^: t6 u# and other software and tools, and its AMPP partner logic * @8 G# R4 I( _. ?0 t5 X$ s% P
# functions, and any output files any of the foregoing 6 r' O0 ?) ?5 `2 `
# (including device programming or simulation files), and any - P8 K# v( a2 p# {
# associated documentation or information are expressly subject & U* U* B' \$ i+ z- ^/ ]
# to the terms and conditions of the Altera Program License * B( L5 c4 U6 \* i, _6 r. F! \
# Subscription Agreement, Altera MegaCore Function License
2 y) Z! ]" F6 i+ H8 s+ D# Agreement, or other applicable license agreement, including, , o1 ^( |: W& ~
# without limitation, that your use is for the sole purpose of " K+ @2 K: z0 f- \: G( x1 y
# programming logic devices manufactured by Altera and sold by
* L+ ?( v+ q0 m; Y4 Q& r# Altera or its authorized distributors.  Please refer to the
$ v0 P" y  L. i2 [- i* j1 ~. b5 e# applicable agreement for further details.
" d7 Q4 y3 k) G8 i) g( Q5 n3 B- }% G- T% y4 I
$ x. X7 o/ L7 D  T
# The default values for assignments are stored in the file1 x, s- N( [' a
#                test_001_assignment_defaults.qdf$ r; e9 O) F) n; c$ S! O
# If this file doesn't exist, and for assignments not listed, see file0 o1 m+ K, F* i8 f- F
#                assignment_defaults.qdf
6 s! j6 u6 M2 P5 r9 r  J% L& C& F, X6 ^, K
# Altera recommends that you do not modify this file. This
1 L, ?& ?! x# d1 {5 p) J# file is updated automatically by the Quartus II software; b$ h- B" S. ?3 K- [* k
# and any changes you make may be lost or overwritten.
, k7 H/ S& l) U, o1 j5 w8 Q
5 v( O9 [9 P9 Q' N5 [+ d5 u, I* d8 Z% V9 j" f
set_global_assignment -name FAMILY "Cyclone II"; U1 w; w6 K# f8 \( _' q
set_global_assignment -name DEVICE EP2C35F672C6
( _, W5 Q/ s& t3 ^2 Bset_global_assignment -name TOP_LEVEL_ENTITY test_001& C" E; s3 Y0 b4 U2 C
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
9 k( D% c. @5 bset_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"
( t) g- b6 W- J  i& m9 E- oset_global_assignment -name LAST_QUARTUS_VERSION 6.0' v9 e/ Y% L1 X$ K& A/ e2 `& U9 v
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"
9 S4 F* c; N) Y7 ~set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
. J( Y& T4 N/ O5 ]: v9 ^5 Yset_global_assignment -name VERILOG_FILE old_test_001.v
" F' ^) E! r6 M  j7 U7 x* @- {set_location_assignment PIN_Y11 -to D[0]
* W% P6 R6 J* ^4 `; Bset_location_assignment PIN_AA10 -to D[1]
, S5 A) o0 [  a. {& |set_location_assignment PIN_AB10 -to D[2]
3 z) s7 E. p( aset_location_assignment PIN_AE6 -to D[3]4 c* x) W+ b# A: t. D
set_location_assignment PIN_AC10 -to Q[0]- P6 q4 v% [5 c* o  a  s/ F" J; }. H
set_location_assignment PIN_W11 -to Q[1]- b& C; K' a) M2 c
set_location_assignment PIN_W12 -to Q[2]
" }2 h4 L/ D+ T7 B2 [  R2 |set_location_assignment PIN_AE8 -to Q[3]% h/ w! ]2 z/ _# [9 q* E
set_location_assignment PIN_AF8 -to Q[4]
7 K0 s( A. O' Z/ Wset_location_assignment PIN_AE7 -to Q[5]
& S6 C" k  h6 R$ E8 Nset_location_assignment PIN_AF7 -to Q[6]
3 y% A- L0 W! R( J6 c3 fset_location_assignment PIN_AA11 -to Q[7]
) o3 z0 x3 d: _" O; Y# A6 gset_global_assignment -name SIGNALTAP_FILE stp1.stp3 E7 F$ x2 [2 h; i0 N- U" h
set_global_assignment -name ENABLE_SIGNALTAP ON# S5 w( M, k5 ]  M' v. ~# r; p) n
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp, p/ x2 G4 c- C2 n# |
set_location_assignment PIN_M21 -to reset
- U! q7 q: v" D9 _set_location_assignment PIN_P25 -to clk4 ~% c9 b6 \6 r
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"
1 C& ~+ M- [) V/ Eset_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
" ~  Q+ {) k  F- c. lset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis
9 q8 H: O1 H, y$ vset_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis2 F7 m  Q, R  L' q; N% A
//=================================================================================================//
9 U- H2 V6 ]; H  _% U9 n我的問題是,不知道為何怎麼樣都燒不進kit裡,0 Z  |2 \' b. F* S! [
已經排除並非JTAG跟KIT的問題!
9 m; }# `9 t: e- @! T% G4 t; X. _請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
: g/ M& a4 u5 P0 k1 k/ _3 U1 I
只有WARNING& G% ]/ w' g! F5 X
沒有ERROR: A- ?) B4 {! F( ]( l
這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??
3 s. u- B. n% @. o不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者
! R8 F% I3 N3 G2 t4 H9 M5 L

5 h" F0 X* W4 V) D這是program的畫面5 ?0 q& R- Z) P$ f

; w  A  k0 [+ @1 I- q5 [5 |4 D9 U
& b% ?  _: ~# K1 U: V4 O1 z, f7 w! R3 t4 t  p, I
這是assignment pin的畫面" T" B  x8 ?* y0 |) J

" T9 ^6 q; l3 D5 Y0 L2 G6 u7 \6 {9 S$ ]1 c0 F1 U2 @0 h. p$ P$ z

: N. T. m+ T  H& r( [8 i這是燒錄下載到kit的畫面
- ]9 Z& t- D3 o$ K" t( s9 A1 H$ {' s/ f; o
####################################################
' R& R5 z2 z, K4 N. T- N+ h到這都很順利,
3 n$ k3 G/ B) e3 H4 T8 w但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?; P  k/ ]0 c& a' k4 T& v( b6 A
導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧
- Q2 t: V0 b: y, H2 u: I4 p  q5 x, R0 u& B
看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!
5 I( V* r1 ^0 H0 L$ M  G  _9 l+ M; L# s9 `+ K5 O( g: d; Q
) Z1 \( S. I3 [" X5 p
) [1 l4 s) b0 ^

  z6 U  ]2 y; G* G7 N0 _6 o% E8 M
7 h: x9 D0 B: K
+ s3 m1 U& t& |  I& ^" }

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟& Q8 w/ M, y) n$ Y' Q9 G
上面signaltap2跟in-system memory content editor不要亂開, 8 X. X0 s( [% m, S
特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面* W  C# y  P: N
發展板的manual要K完, 有沒特別的jumper要設mode?
3 V& `9 F/ ?$ D7 y另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
6 W1 x" n9 B+ \那就是你的設計的問題,
2 M: l3 r0 f: Z. X) N/ ]這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

, V9 n. J) y9 t1 T! r$ ~' m感謝各位的意見~" `: s& P0 R# R9 W0 ?4 P
同樣的描述~7 ~$ O/ K: A9 ?( U0 n# Y
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
9 W! q; w3 o' a; `. @所得出的結果就是我要的~
% U+ j. w2 j% m% N6 N3 a' b差別只在PIN的ASSIGN  ]8 Y4 M' R4 g7 L
這樣子可能會認為KIT有問題~
. S" h! I7 U/ x9 ?! T+ P所以~' u4 q. g# p2 y" \! ~: ?
我又重新寫了一個
: V+ H0 s& z8 A; M# \+ ]( {放到altera EP2C35F672C6這塊KIT~
5 ^1 B# q- W: m9 z* i# a5 }) C
4 h! M' E$ M; {% M$ v1 h- u居然可以動作了~
) j5 Y% `2 s2 V+ n9 l& O  S  q以下就是這段硬體的VERILOG HDL
* i" {9 @# o$ a+ v3 w`timescale 1 ns/1 ns/ O, X% n7 f& @, Q# k' C2 h$ S
module chip_top (7 G# K  O; n; e# L# C! k
                  clk,
) ?  i  I. {( }* ^, G                  rst_b,6 D* c8 |9 K# D: i: I, A6 j- F
                  cnt,& T# v7 R3 Y2 d! z# E6 I+ d
                  seg,  # E9 l! v/ M+ s
                  a,
7 B0 u; \1 Z/ s% K1 H  i. O                  sel,
+ e$ A# L- K' E. s                  seg_u9,   
2 g) ~' O3 _+ R                  rst,
$ o' i& H2 R. _9 L$ y  W                  clock,
* r% `: a" D$ X6 c( ^, B                 );
6 ~, X! ]9 R8 i# R# f  7 I& E! N# o1 y8 \5 l) W
   
& F5 h) n$ a7 t! s! Q  l  input  clk;
, I, U% G2 v5 v( R1 Y* M0 t  input  rst_b;
5 y7 ?8 c+ K# j8 z4 M! a  input  a, sel, rst, clock;
" G) D/ C/ P, Z' k2 \6 Y. C  output [7:0] cnt;0 s3 H: b2 I1 k2 B. k
  output [7:0] seg;5 s" G4 x! [3 E+ J2 B! v  @
  output [7:0] seg_u9;
3 R" L& F! e$ O' ^3 Y5 Y  reg    [7:0] cnt;
- c3 ~$ ~% D& s4 d$ z. z  reg    [7:0] seg;
1 V* [& ]: h4 t  reg    [7:0] seg_u9; ! h' v& v- f: H& r
  reg    [40:0] clk_cnt;
% k! C5 O0 l/ S  i, s  reg    [40:0] clk_seg;
( ]1 F& U  G' o, O4 L: G( |+ P  reg    [40:0] clk_seg_u9;8 n( A& G, a! M/ o( X
    wire   clk_cnt_end = clk_cnt[20];
" S7 e# R$ Z" j- Z   wire   clk_seg_end = clk_seg[20];: _6 T  j+ y7 Z4 t/ F% ?
  wire   clk_seg_u9_end = clk_seg_u9[20];7 E8 n& G8 _4 x0 _% k! n8 M
  ) g6 w6 g3 ^) f3 U- u4 O5 v7 C/ J0 p
  
3 S8 ]2 e9 t8 @1 h: d//---------------------------------------------------------------
/ z3 x% T+ ~6 w  z5 y  always @(posedge clk or negedge rst_b)
1 J. g4 S& W2 n/ L' s7 n- G8 s    if (!rst_b)
' M( R( B" ?. P* K* j3 |- }$ ~! p              clk_cnt <= 0;+ B9 I' {) s# N2 h) B- }
    else  4 f# s- H, b& X( h& Y& \9 i, z3 Z
             clk_cnt <= clk_cnt + 1;
: i. G& X' [+ s. M) f" n7 }0 F! c" z
  always @(posedge clk_cnt_end or negedge rst_b)" \- X9 B9 g9 L1 `' s
    if (!rst_b)
; C2 p0 w" h+ x7 |3 v$ \              cnt <= 0;2 _& y4 |( q. N; ?, k. Z* `5 j
    else
1 Q2 }* T3 H' c8 B( b. a( o- Y) b) H              cnt <= cnt + 1;/ }! }4 B. _8 ~! i
8 \- b' t# y7 P! h. k- S
//---------------------------------------------------------------! z! A5 @9 n9 h( d

1 v) G# y8 _' ]( w  Y# {2 D2 n4 S/ f; N
// always @(posedge clk or negedge rst_b)# }( ], m0 }: u1 @5 |; J
//   if (!rst_b)
2 U9 s5 w. u: x, V//               clk_seg <= 0;  n+ r2 [, g- V. R0 N" u% S! B) }
//   else 2 I6 W3 ]. Y" f# F' i- ?- _8 j4 \4 U
//               clk_seg <= clk_seg + 1;
8 p" n0 o: |$ B! h+ ^- @5 z5 H5 G$ p2 R& l
// always @(posedge clk_seg_end or negedge rst_b)
, {. e$ `" O. I/ ?//   if (!rst_b) ! [" e0 V, G3 Z0 X
//              seg <= 0;. j, `6 f1 m2 P" Y4 |- o
//   else4 N2 b+ E" I! r. L: j  Z
//              seg <= seg + 1;; U4 c# S0 b3 e5 j
//---------------------------------------------------------------     1 j3 F$ d" d# L4 H! S2 l
//===============================================================           
9 V. W2 i# ~& c/ j! [/ y) R7 o( ?//  always @(posedge clk or negedge rst_b)
3 k2 i7 c! T) J5 k//    if (!rst_b)
' ?8 g  @+ ^6 v' e//                clk_seg_u9 <= 0;
& o3 t- s  G( U4 p! [9 j' `7 a$ {//    else / g  a7 i/ j3 s2 ]
//                clk_seg_u9 <= clk_seg_u9 + 1;" g' r' A% m& L% `0 I) ]
& _8 N& c! I3 P
//  always @(posedge clk_seg_u9_end or negedge rst_b)
4 [9 ]  A' {4 `7 r//    if (!rst_b)   @  y5 t) C5 a( M. ^  r( V
//                seg_u9 <= 0;
( x* a: e+ E* j7 w: j+ c//    else
' R' X1 @. r+ v//                seg_u9 <= seg_u9 + 1;            
3 v8 V$ K: L" z! z* ]$ a//===============================================================     9 E6 {" h6 i  N& ]+ ^- x
    always@(sel or a)
: e( t! ?! f- f- n5 T6 h) `; v: @   begin
. m4 |! i6 e/ m8 \3 b8 n" }          if  (sel == 1'b1)
: Q* J& z, e+ \* N% D               seg_u9 = 8'b0111_1001;              
; f, Q( [# S' f% [8 A    end     
" G1 B: S% f9 G3 z0 P8 T4 m     always@(sel or a)
7 p, d3 i# m5 ?9 f1 O   begin
( Z% W) {4 e) l' A1 I          if  (sel == 1'b1)
. F) E4 L2 g5 y; e# l4 s              seg = 8'b0010_0100;              
% j! t5 Y" |  V3 I  W0 {5 Y    end  1 e* U1 _, o3 c
//===============================================================4 H1 j1 @  ?2 j; u, ~: s
        P8 c7 L  l1 ~% C
endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-18 08:51 AM , Processed in 0.171601 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表