Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4476|回復: 1
打印 上一主題 下一主題

Cortex-M0+ IP Core

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2014-3-7 11:22:50 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 sinoicboy 於 2014-3-7 11:24 AM 編輯
% ~' l/ ~8 \& j  L2 @
+ p0 i3 I4 x( E/ b( f$ k0 C* Z9 `- _' D% Z

, h/ q, ^# \6 C! R. a  |5 U, \iconstart@gmail.com* E2 y  b; D3 s+ U

  ~* Z' Q( s; |5 C                                  AR085-DA-70000-r0p0-03rel0/
! l; b( l' W9 Y, X                                  AR085-DA-70000-r0p0-03rel0/doc/  q5 D, d3 t/ [) {: Z
                                  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/
$ B: D& R8 B5 F4af79e0557cc9c6863c2eab0f890aaad  AR085-DA-70000-r0p0-03rel0/doc/ARMv6-M_architecture/DDI0419C_arm_architecture_v6m_reference_manual.pdf
. ]. Z' `. z' {; L4 s                                  AR085-DC-11001-r0p0-04rel0/
3 V* J7 s+ O% \& Y7 h: y" Y5 o. P                                  AR085-DC-11001-r0p0-04rel0/doc/' A# [3 g0 Z. \
                                  AR085-DC-11001-r0p0-04rel0/doc/errata/
0 k9 C2 ^5 a3 z* J6 j0 a1 Z57ce61d69a56fae9dff02a664dc0930a  AR085-DC-11001-r0p0-04rel0/doc/errata/ARMv6-M_Architecture_Errata_List.pdf
( s$ G1 ]# _$ m# X/ [                                  AT590-BU-11001-r0p1-00rel0/! t) _& P' A: @. y3 r* w
b2bb2db05b71fa9e2ecd4c2841c22f3f  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Product_Errata_Notice_v4.pdf
4 f" L$ T: E: W! X, K+ X  p( Y& D  A, t! }d305236940b52b92b81e8579435efe7e  AT590-BU-11001-r0p1-00rel0/Cortex-M0+_Software_Developers_Errata_Notice_v4.pdf1 W2 @2 R, w) }6 H
2d80706f7a099ac4a9674908c50d730e  AT590-BU-11001-r0p1-00rel0/AT590-BU-11001-r0p1-00rel0.lst. o. O" @: ^+ g9 x& e. {0 [5 @
                                  AT590-BU-50000-r0p1-00rel0/6 M$ u8 ?9 E* @2 g$ c- g
70404309a7929774e3bd8786e10771eb  AT590-BU-50000-r0p1-00rel0/ARM_Cortex-M0+_r0p1-30rel0_ReleaseNote.pdf
* }5 @3 X; a3 h$ G1 ^2 O6 r, I9 w                                  AT590-BU-50000-r0p1-00rel0/logical/. U. T6 y4 a( O6 z% K9 E
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/* P7 S2 ^; ]* h! v7 b
                                  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/7 y) k. b# s- M
80fe9cfaeb5e260f70aebfcac0bdf888  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_cdc.v( [. O' e1 `9 W' D, J
063cdb1c994aca1f31971a3d52da426d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw_defs.v
. y, d2 }8 S  c' N0 g+ w# z17f0e782572ea85e916a26ef804106ac  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag_defs.v7 T$ l( T; I; C/ V0 z& r2 o0 |
db6b0ced453f9ba83035ca0b2d31c55d  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast.v
- k) T4 @9 B+ I! @$ H12e85d89d377ef33f7a41f5f49bf20f0  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_jtag.v2 |" L' H- J: \. B& A
bb3812a6b967f1ffaa604a858064f1d6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_top.v
' ^% C+ r$ p. S2b71a63c5d6843c6a0c64972ca0a3483  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp.v7 I9 G. s; X( j" Z
fc6a96af9879ce4ccb0c150913d38ab5  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_cdc.v" H8 E$ D- f+ {+ m1 h# Y( i
72017024f98163d43c2f77e920560bd3  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap.v
) X8 o8 H( y6 F. `% K2 z9 w6ca54cbd04210e471b30aaca343e1966  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_ap_mast_defs.v
9 P6 g+ _6 P3 P8 O( m+ T764fcf3fa6ce572a3325f069a591baf6  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_pwr.v
; t* T8 C8 [$ _0 r+ }3ffb32cddb6a7e19f9f91e437f7ca59b  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/CM0PDAP.v3 F: x4 A3 @' I+ P. [8 Z
75d1d91ab79ae9e0e044ed1ef71cf03e  AT590-BU-50000-r0p1-00rel0/logical/cm0p_dap/verilog/cm0p_dap_dp_sw.v
, Q$ |" R% j% R* G                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/
" G, G, h: B1 W7 t# N2 q6 a, D                                  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/
0 f0 @4 |% N- l) j3 A2 v$ ]% k95d54f552eade07ede322600da1f95c3  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_bpu.v% l/ l: j; O# F. H4 d) O9 C
302c07875f4fc2cfe52c087ca6429cc0  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix_sel.v2 O9 V$ D- \+ {' v- n
fce226f9ab48e92ff074fcad3dec6728  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_sel.v  K) s, C0 j/ e' F: z
c00549e61aae47696609028230712dd6  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_ctl.v
, o! J6 G8 M& l+ N; qed481eab3481c71d4e2dc94531b88a3d  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_nvic.v
7 O6 ]! ~/ o( Z3 m  P57a19fb958028b39c425e6ac68f882aa  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_sys.v
4 }6 ?9 @7 E' |: j8 u8 f6 J( \$ G$ P66bc815f02ad900042cec326a6679963  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_dbg_dwt.v3 ^, K4 F. w0 v( o9 M' b9 Q
62d60f5f2bceb3ce3f82e8fcb48446ff  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_mpu.v
( `5 u& G. @4 g- o. @  L  [4b054567197e5f54d3bacf492271f4c9  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_matrix.v* S$ D4 r8 n% c* M* x
a436b897620bcd65a4f6f7d50345215e  AT590-BU-50000-r0p1-00rel0/logical/cortexm0plus/verilog/cm0p_top_clk.v

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
推薦
發表於 2015-3-11 14:42:28 | 只看該作者
thanks! do you have source codes for M0? It‘s very great for sharing the code。my e-mail is 114142500@qq.com,thank you very much!
回復 支持 0 反對 1

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-3 04:32 PM , Processed in 0.102006 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表