Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13336|回復: 7
打印 上一主題 下一主題

[問題求助] verilog clock generator question

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2013-10-29 16:14:19 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
Hi 6 U) M# o" l2 ^, j5 A+ G4 u

6 o6 e# D+ q2 X2 _) X; NMy clock generator is as follow$ b: s: ~: ~0 F8 B5 U
6 y0 l5 Z# v) j" [1 A& m3 p7 s. f  J7 d
`timescale 1ns/10ps5 S% O) o0 b  g5 g$ r2 c# M/ p, H
3 b7 S+ W$ \1 m
`define period 15
9 J5 F0 u! w& @1 u
7 l5 W: Q; _# h0 Fmodule test();: a% L; A+ z5 ]7 r
reg clk;
$ k+ s" c: Q& }) o& Q5 A9 t; ?: w4 Z* g
initial begin* C( j7 }8 Q+ d3 n
clk = 0;
; \7 g8 h$ T9 T4 F5 qforever #(`period/2) clk = ~clk;
! \2 K# S& X" ?7 b9 Cend
5 r$ m- n5 H( f
0 j9 v% K4 X8 c9 O$ Q6 _7 v( ?; p& V
But i check the waveform the clock period is always 14ns.
9 Q2 p5 N& @) o5 o5 B6 {) h
6 c+ O5 I( a1 L3 d5 }0 d0 \Could anyone help me the question ?! k, U8 Z% C4 E( ~1 Q! D- ]& J* {
9 S! m+ Z- ~/ ^8 z
Thanks
% q4 m. J9 t# B6 C5 B- Q0 l
: p3 I  s9 d7 ~) u6 dendmodule
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂3 踩 分享分享
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 12:20 PM , Processed in 0.094005 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表