Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13985|回復: 1
打印 上一主題 下一主題

[好康相報] 介紹一個網路上看到的ASIC網站---「ASIC World」

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2013-1-14 17:15:14 | 顯示全部樓層 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 card_4_girt 於 2013-1-14 05:24 PM 編輯
* |( t9 R& k2 }  I
. M- j+ i  m( }( u大家在設計數位電路的時候,可能都曾經用過Verilog這個硬體描述語言做些設計,或是有人是用SystemVerilog、SystemC等進行設計與驗證,以下將介紹小弟之前在網路上看到的網站:ASIC World4 f6 h; J5 ~  D1 [" j/ P* _4 O
網址: http://www.asic-world.com/index.html
) V; V, `" L9 |# z4 L到首頁之後會看到左邊有一排欄位,裡面包含Digital、Verilog、SystemVerilog、Specman、SystemC、Vera、VHDL等,就我的理解大概是這樣:% w6 U" e6 V6 u& @" r: A
1. Digital:
9 o; Z' Y) O. Z(1) Tutorials: 介紹跟數位電子電路設計概念相關的知識,比方說Combinational Logic的Decoder、或Sequential Circuits的State Diagram等。
" S% }- x: R, }7 g- p/ [# I& a. k(2) Questions: 提供幾個數位電路的問題給網友們思考,比方說「Design a circuit to divide-by-3 sequential circuit with 50% duty cycle.」(請設計一個工作週期為50%的除3循序電路)' v) ~: C6 I- j1 H
(3) Tools: 詳列幾個數位設計的工具可供參考,像Digital Simulator等有一些連到MIT下載的部分,不過還是有些已經變更,就我所知,Electronic Workbench已經自動連到National Instrument的頁面,目前原先這部分的團隊近期開發的軟體有MultiSim與Ultiboard去分別做SPICE跟PCB Layout設計模擬。
* g$ T! c2 g: ]  l) I' X; ~, R(4) Books: 提供數本關於Digital方面的書籍/ B% n: r# |, w$ F) B9 |/ M
(5) Links: 關於Digital方面的其他相關Tutorial或Simulator等: h( o$ T0 z" o# H3 X$ m
2. Verilog:% u; q- M8 I- w' E  p* _
(1) Tutorials: 介紹Verilog的一些常用運算子以及設計方式  k3 F- I- }$ ]! |
(2) Examples: 一些關於Verilog組合電路與循序電路等方面之範例,可以下載它的原始碼(.v),比方說同步FIFO(Synchronous FIFO)、UART等。1 w- C$ N$ y3 M& r8 y6 W
(3) Questions: 作者提供的數個Verilog相關習題2 h* q5 n$ }3 A3 d) V% Y
(4) Tools: 跟Verilog相關的工具軟體介紹,如Verilog-XL、ModelSim等。
9 X/ X# {- f- w  v- p: ^' x7 X, ](5) Books: 作者推薦的幾本書,雖然有些是1997年的,但可以找看看有無近期修訂版的來提升自己的知識。
* ^6 n# f! d/ m7 Q# W7 e(6) Links: 與Verilog相關的連結或是驗證IP等等。
& t! z/ n$ y3 G' b(7) FAQs: 一些常見的Verilog設計問題,例如「How do I avoid Latch in Verilog ?」(我該如何避免Verilog(合成後出現不必要)的閂鎖器?)
9 L+ t7 r1 T, _. S3. SystemVerilog:
" x, X1 G6 N0 ^(1) Tutorials: 介紹SystemVerilog的一些常用運算子、可宣告型別以及Module Hierarchy等。
& z( H' x; O+ `. e7 l0 A9 t4 M(2) Examples: 提供與SystemVerilog相關的例子,如Memory的驗證(Verification)) g2 L. D9 t! z4 R2 R4 _1 |
(3) Tools: 提供與SystemVerilog相關的模擬器或是一些Code Coverage分析工具等等。/ u/ J& N8 X. j5 g
(4) Books: 提供跟SystemVerilog驗證或寫測試平台(testbench)等相關的書籍
' M/ d" f2 r7 h) |# u/ Y(5) Links: 跟SystemVerilog相關的外部連結、驗證IP等等。
& A4 n7 u" R9 ~1 z* D; N4. Specman:! f. W7 x" a: i$ L- ^1 \+ H
(1) Tutorials: 介紹Specman的一些宣告型別、結構子型別(Struct Subtypes)以及Functional Coverage等。7 j6 ?7 v& Y9 r* [: N" c# w3 U7 k
(2) Examples: 提供與Specman相關的例子,如FIFO的驗證(Verification)8 D- z. \) O2 \2 Y. X
(3) Tools: 提供與Specman相關的HVL編譯器以及模擬器等等。
$ ^9 ^7 g. a( O8 `6 N! o(4) Books: 提供與Specman相關的驗證工具書7 ]' Z% M2 Z7 e
(5) Links: 提供與Specman相關的外部連結; ]: M* p3 k* I( U2 T
5. SystemC:
6 A+ D- @( ~" o4 t5 |7 U8 b(1) Tutorials: 介紹SystemVerilog的一些資料型別宣告、Port、Signal、Channal等設定以及Verification等。
7 ]; F7 y* i4 t& K$ c+ p(2) Examples: 提供與SystemC相關的例子,如組合電路加法器、循序電路8位元計數器、SCV驗證等。
0 |) A! T# y  k# S9 D(3) Tools: 提供與SystemC相關的HVL編譯器以及模擬器等等。/ u" G) z9 I, G9 n* t" @" L& S$ p
(4) Books: 提供與SystemC相關的設計書籍。* g$ R  G. n5 t$ X. ?
(5) Links: 提供與SystemC相關的外部連結、驗證IP等等。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂15 踩 分享分享
2#
 樓主| 發表於 2013-1-14 17:17:20 | 顯示全部樓層
本帖最後由 card_4_girt 於 2013-1-14 05:42 PM 編輯
; D9 o$ ]4 u9 ~5 l% o" v  o5 B
7 w! h% f; n4 I6 O6. Vera:, k3 E, |, v3 e. F
(1) Tutorials: 介紹Vera的資料型態、循序控制等等。
/ x8 W/ q. X% c4 k" g& ]: j(2) Examples: 提供與Vera相關的例子,如UART的驗證等。
. i$ Z. ^7 I5 ?* |, z9 X(3) Tools: 提供與Vera相關的編譯器與模擬器等等。" o$ i  V9 _2 z
(4) Books: 提供與Vera相關的書籍,這裡再提供一本4 v: y* e. ~# a% M! I% t8 X
a. F. Haque, J. Michelson, K. Khan, “The Art of Verification with Vera”, Verification Central, 2001.( f2 u( L& B6 k
(5) Links: 提供與Vera相關的外部連結,這裡再提供一個2 @3 b+ p/ G# t
a. OpenVera: http://asicguru.com/Vera-Tutorial-/3/17/ (有一些Paper可以參閱)
0 p/ z( M: L% _- T. g0 `7. PSL: 跳過,作者未多做編撰  Z0 D' R2 n  I0 F& S
8. VHDL:
9 Z2 D7 i0 a! \5 t6 I' H(1) Tutorials: 介紹VHDL的一些常用運算子以及設計方式% H' V6 {! Z( d0 y- ^
(2) Examples: 一些關於VHDL組合電路與循序電路等方面之範例,可以下載它的原始碼(.vhd),比方說Arbiter Model、Memory等。; m" q' `7 w+ b, I
(3) Tools: 跟VHDL相關的工具軟體介紹,如ModelSim等。$ T/ x: v: u$ E) x+ ~5 K: \0 K# W
(4) Books: 提供數本關於VHDL方面的書籍,不過有點奇怪,所以在此提供幾本,如下:
( w3 Q' K* q( O4 [8 `7 ea. Mark Zwolinski, “Digital System Design with VHDL”,  2nd ed., Prentice Hall, 2004.7 `7 c; E- ?! Z6 D
b. Peter J. Ashenden, “The Designer's Guide to VHDL”, 3rd ed., Morgan Kaufmann, 2008.
! G9 Y; y- C- m(5) Links: 提供與VHDL相關的外部連結,這裡再提供幾個:' ^2 N0 K' R4 [0 ~) }6 E! p4 P% Y8 I
a. http://media.nihs.tp.edu.tw/user/yangmf/?active=media&id=100003434&course=CPLD%E6%95%B8%E4%BD%8D%E9%82%8F%E8%BC%AF%E8%A8%AD%E8%A8%88% J* y; U! h2 s' Z
b. http://www.slidefinder.net/c/cpld_vhdl--_----_---_------/2006_fpga_01/13437341 (網路上找到的投影片Slides)6 }( ^% x6 u. [) a9 t% N1 w, I
c.  https://sites.google.com/site/tssheedl01/jiao-xue-dang-an/vhdl-dian-lu-she-ji
" x  Q. F' \' _  r& E9. Scripting:
3 r  O, z6 l9 n4 \(1) Tutorials: 介紹scripting, Makefile, CVS, Perl, TCL等的撰寫、模擬等。
7 s8 i, f2 u4 _4 M(2) Examples: 作者尚未加入
; L! }/ h& C! u1 A1 h(3) Tools: 一些關於Scripting的模擬器介紹,像是VCS等。/ O. F, }/ o8 p
(4) Books: Scripting的工具書,例如Vi Editor方面的。2 F6 r0 u4 i- {+ B
(5) Links: 提供跟Vim相關的網站,其實國內有一個針對Vim編輯器作介紹的網站,可能有人有聽過:「鳥哥的Linux私房菜」,下面是他的網址:
) Z5 \9 F7 ?+ Pa. http://linux.vbird.org/linux_basic/0310vi.php% @6 {/ h0 _* q" ?8 Z
10. Tidbits(小常識):
8 C. u3 Q3 i) G; W* C# j雖然這個網站依舊有很多東西作者尚未補齊,或是有些書年代有點久遠,不過依舊具有相當參考價值,作者在此提供了一些設計小常識給大家充電一下,包括Verilog語法中用的Wire與Reg型別、Blocking跟Nonblocking敘述、寫有限狀態機(Finite State Machine, FSM)、驗證流程等等。4 p5 C$ }0 Q2 Q$ h8 K7 L; U2 q* c( s
9 T3 J' x8 X0 A; |5 h! v  z/ T$ ~4 q
上述說明了這麼多,希望各位會喜歡這個網站~
" }+ c5 D) L0 z9 W* B1 j4 @另外,之前管理員已經針對Layout設計討論區中「好康相報」的主題「Laker_L3_教學_範例 有Lab」的帖子進行修改,當初的樓主沒有注意到從eetop下載的附件檔案單筆超過1.5MB的上限,導致傳輸失敗而無法將分割檔解壓縮,如果您曾經到過主題(URL如下)下載失敗,卻沒收到我寄給您的短消息者,或是最近已回復卻無法解壓縮或未回覆但需要資料者,請一併告知!
7 {; E% e$ G( _( BLaker主題的URL: http://bbs.innoing.com/viewthread.php?tid=11821298* ]. a* V# ^, {
為避免大家損失RDB,你可以回復此主題賺個RDB,我再發短訊過去,你收到後不需回復(要回也可以),我看信件標記改變就知道了!也請大家多參加論壇任務喔~
回復 支持 1 反對 0

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 10:28 AM , Processed in 0.102006 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表