Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13994|回復: 1
打印 上一主題 下一主題

[好康相報] 介紹一個網路上看到的ASIC網站---「ASIC World」

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2013-1-14 17:15:14 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 card_4_girt 於 2013-1-14 05:24 PM 編輯
; \& {# z6 j" `2 V# T1 C
0 a" P7 Y; _: [大家在設計數位電路的時候,可能都曾經用過Verilog這個硬體描述語言做些設計,或是有人是用SystemVerilog、SystemC等進行設計與驗證,以下將介紹小弟之前在網路上看到的網站:ASIC World
1 V5 f" q" S6 G網址: http://www.asic-world.com/index.html: a! C& P) q: V/ d  D  L3 b
到首頁之後會看到左邊有一排欄位,裡面包含Digital、Verilog、SystemVerilog、Specman、SystemC、Vera、VHDL等,就我的理解大概是這樣:" X5 Q6 r7 `0 M8 \( Z+ ^
1. Digital: # ^/ S3 Y8 I( I- M
(1) Tutorials: 介紹跟數位電子電路設計概念相關的知識,比方說Combinational Logic的Decoder、或Sequential Circuits的State Diagram等。
& k( h& o, L. H3 y/ H; b, Y! K(2) Questions: 提供幾個數位電路的問題給網友們思考,比方說「Design a circuit to divide-by-3 sequential circuit with 50% duty cycle.」(請設計一個工作週期為50%的除3循序電路)
2 M! f4 ?( o0 g0 c  c" i! T) d(3) Tools: 詳列幾個數位設計的工具可供參考,像Digital Simulator等有一些連到MIT下載的部分,不過還是有些已經變更,就我所知,Electronic Workbench已經自動連到National Instrument的頁面,目前原先這部分的團隊近期開發的軟體有MultiSim與Ultiboard去分別做SPICE跟PCB Layout設計模擬。& U1 I# p9 L" K9 z' n) b
(4) Books: 提供數本關於Digital方面的書籍6 q0 b' X8 `. k* M6 E0 y
(5) Links: 關於Digital方面的其他相關Tutorial或Simulator等" u9 D3 }: }+ p4 _) ^# W* A
2. Verilog:
, Y# C; n% e% P& Z(1) Tutorials: 介紹Verilog的一些常用運算子以及設計方式
" @5 }6 T$ n/ h- r(2) Examples: 一些關於Verilog組合電路與循序電路等方面之範例,可以下載它的原始碼(.v),比方說同步FIFO(Synchronous FIFO)、UART等。1 D# m& C) H& u7 n1 Y
(3) Questions: 作者提供的數個Verilog相關習題
1 g/ x7 r0 d2 K3 \7 w, ?(4) Tools: 跟Verilog相關的工具軟體介紹,如Verilog-XL、ModelSim等。
( E1 ?/ J% g7 L( |8 S$ A/ |(5) Books: 作者推薦的幾本書,雖然有些是1997年的,但可以找看看有無近期修訂版的來提升自己的知識。
& v# Q, i) ?% [4 u(6) Links: 與Verilog相關的連結或是驗證IP等等。
7 _( z2 U8 b- q$ b) W  n8 I5 m+ _3 I(7) FAQs: 一些常見的Verilog設計問題,例如「How do I avoid Latch in Verilog ?」(我該如何避免Verilog(合成後出現不必要)的閂鎖器?)
' Q; v4 d* r* f8 q5 }+ B' [3. SystemVerilog:
" ~6 S( P- N* M2 U- C(1) Tutorials: 介紹SystemVerilog的一些常用運算子、可宣告型別以及Module Hierarchy等。+ p% \4 H2 e: M4 e
(2) Examples: 提供與SystemVerilog相關的例子,如Memory的驗證(Verification)0 M- |. y4 O9 Q7 r) a5 t
(3) Tools: 提供與SystemVerilog相關的模擬器或是一些Code Coverage分析工具等等。' s6 f( @7 I" b" X! m- j* O
(4) Books: 提供跟SystemVerilog驗證或寫測試平台(testbench)等相關的書籍
5 N: x3 b# j9 K! f! Q2 Y1 Z(5) Links: 跟SystemVerilog相關的外部連結、驗證IP等等。, n* x  N' S0 h/ \/ S; v( O
4. Specman:
9 A% ^& b: b+ U* X(1) Tutorials: 介紹Specman的一些宣告型別、結構子型別(Struct Subtypes)以及Functional Coverage等。3 b: W# |8 v' D5 _! E
(2) Examples: 提供與Specman相關的例子,如FIFO的驗證(Verification)
7 t8 L9 k; ?: f, K  P# p$ h% E(3) Tools: 提供與Specman相關的HVL編譯器以及模擬器等等。& B  j4 |& Y7 C' m# {. o* W' F& M) x9 o
(4) Books: 提供與Specman相關的驗證工具書. B7 z& G% T6 J8 M0 l( Y
(5) Links: 提供與Specman相關的外部連結8 w) F! f" ^  e; R! d& x
5. SystemC:
$ R( M6 F: c0 `$ k(1) Tutorials: 介紹SystemVerilog的一些資料型別宣告、Port、Signal、Channal等設定以及Verification等。
9 R6 B  o  ]) A0 _(2) Examples: 提供與SystemC相關的例子,如組合電路加法器、循序電路8位元計數器、SCV驗證等。, @) r/ Y5 X" V0 J) s, z
(3) Tools: 提供與SystemC相關的HVL編譯器以及模擬器等等。% z: Y' V' j0 e; p. C' K4 F
(4) Books: 提供與SystemC相關的設計書籍。
  N5 G& ^% ], I2 N$ S(5) Links: 提供與SystemC相關的外部連結、驗證IP等等。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂15 踩 分享分享
推薦
 樓主| 發表於 2013-1-14 17:17:20 | 只看該作者
本帖最後由 card_4_girt 於 2013-1-14 05:42 PM 編輯
1 d7 c- g+ v( Y2 E" ~6 |8 u0 r+ b* ~% i' u! `
6. Vera:
+ h5 V+ R' I* M(1) Tutorials: 介紹Vera的資料型態、循序控制等等。* f5 c& Y6 A1 n0 `
(2) Examples: 提供與Vera相關的例子,如UART的驗證等。; o) M7 e* R: t/ a' F7 n
(3) Tools: 提供與Vera相關的編譯器與模擬器等等。
1 N  I' o2 F8 H(4) Books: 提供與Vera相關的書籍,這裡再提供一本
9 o0 e) o0 i6 }7 T0 W2 v4 oa. F. Haque, J. Michelson, K. Khan, “The Art of Verification with Vera”, Verification Central, 2001." }( Z5 L9 a7 y3 S$ f
(5) Links: 提供與Vera相關的外部連結,這裡再提供一個; T8 C+ H4 n1 @
a. OpenVera: http://asicguru.com/Vera-Tutorial-/3/17/ (有一些Paper可以參閱)$ c4 V; ?/ M* m6 A( G+ u6 ^* S+ g
7. PSL: 跳過,作者未多做編撰
. e  ]0 z% A. E% C7 L( \% _0 U8. VHDL:; x, k4 a& {! C2 P9 K" B* o
(1) Tutorials: 介紹VHDL的一些常用運算子以及設計方式
* ~4 Q/ b  t8 f0 ^(2) Examples: 一些關於VHDL組合電路與循序電路等方面之範例,可以下載它的原始碼(.vhd),比方說Arbiter Model、Memory等。
# F. _) {% `% G& C4 }(3) Tools: 跟VHDL相關的工具軟體介紹,如ModelSim等。$ A% `, ^8 m! l/ p+ f1 P$ B3 v
(4) Books: 提供數本關於VHDL方面的書籍,不過有點奇怪,所以在此提供幾本,如下:9 D/ L+ h+ L. a8 d6 p- M3 `
a. Mark Zwolinski, “Digital System Design with VHDL”,  2nd ed., Prentice Hall, 2004.
$ W9 T4 O& N. ub. Peter J. Ashenden, “The Designer's Guide to VHDL”, 3rd ed., Morgan Kaufmann, 2008.: s2 p0 y' G) ^
(5) Links: 提供與VHDL相關的外部連結,這裡再提供幾個:
8 `9 V- l% A$ a$ fa. http://media.nihs.tp.edu.tw/user/yangmf/?active=media&id=100003434&course=CPLD%E6%95%B8%E4%BD%8D%E9%82%8F%E8%BC%AF%E8%A8%AD%E8%A8%88
" o) U6 o) H& \: Z/ z' y6 a+ Gb. http://www.slidefinder.net/c/cpld_vhdl--_----_---_------/2006_fpga_01/13437341 (網路上找到的投影片Slides)
2 M$ E+ n( t8 b3 m* dc.  https://sites.google.com/site/tssheedl01/jiao-xue-dang-an/vhdl-dian-lu-she-ji
$ K( A; u: m3 M6 ?& F5 J  v9. Scripting:% {4 T3 y. s2 [0 Y: _
(1) Tutorials: 介紹scripting, Makefile, CVS, Perl, TCL等的撰寫、模擬等。4 w' f. [' h0 i  ?
(2) Examples: 作者尚未加入
: r) S( _: p/ {  A& D2 }( w; R(3) Tools: 一些關於Scripting的模擬器介紹,像是VCS等。
) `4 w% w5 Z: g9 L(4) Books: Scripting的工具書,例如Vi Editor方面的。
/ `  F$ J8 \. h(5) Links: 提供跟Vim相關的網站,其實國內有一個針對Vim編輯器作介紹的網站,可能有人有聽過:「鳥哥的Linux私房菜」,下面是他的網址:. g6 n  n. N! x" n
a. http://linux.vbird.org/linux_basic/0310vi.php
2 \) N! b* y: ~& }. v10. Tidbits(小常識):8 z" J- e) U; K
雖然這個網站依舊有很多東西作者尚未補齊,或是有些書年代有點久遠,不過依舊具有相當參考價值,作者在此提供了一些設計小常識給大家充電一下,包括Verilog語法中用的Wire與Reg型別、Blocking跟Nonblocking敘述、寫有限狀態機(Finite State Machine, FSM)、驗證流程等等。& E! I  k% J+ f& [" R1 L

3 B2 }7 J# m  G4 W! i7 w上述說明了這麼多,希望各位會喜歡這個網站~
& \% O$ i! e4 i: S9 P另外,之前管理員已經針對Layout設計討論區中「好康相報」的主題「Laker_L3_教學_範例 有Lab」的帖子進行修改,當初的樓主沒有注意到從eetop下載的附件檔案單筆超過1.5MB的上限,導致傳輸失敗而無法將分割檔解壓縮,如果您曾經到過主題(URL如下)下載失敗,卻沒收到我寄給您的短消息者,或是最近已回復卻無法解壓縮或未回覆但需要資料者,請一併告知!& Z  o1 S5 D4 L& S8 {* L
Laker主題的URL: http://bbs.innoing.com/viewthread.php?tid=118212987 r7 C. q8 B" v. T  ]$ M) U
為避免大家損失RDB,你可以回復此主題賺個RDB,我再發短訊過去,你收到後不需回復(要回也可以),我看信件標記改變就知道了!也請大家多參加論壇任務喔~
回復 支持 1 反對 0

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 05:48 AM , Processed in 0.112014 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表