|
本帖最後由 lj0113 於 2011-3-27 10:07 PM 編輯
3 E2 ~$ Y. O( L0 Y0 U
0 x2 A# P$ p5 n# |5 u v+ d各位先輩:
$ x4 L: J& |, @% j b, F0 U& |9 v1 n& F: Z+ o
小子目前在處理一個硬體,合成後其輸出waveform經過reset,然後再幾個clock cycle 其輸出就開始呈現unknow狀態
8 N. `9 M8 |! o; l- R7 p" F, ^; _由於這個硬體不是我負責 我得負責把它合成出來....造成我不確定原因是否是rtl亦或是我DC constraints下的不夠好( [7 L: e- Z6 a8 `7 C
但我知道有人合成完後,電路是可以function work(不過我實在不太好意思 一直去打擾那人= =)+ D2 A$ p. v; R
2 x9 K5 R# }+ z- t& I( ~以前我用類似這樣的constraint去合成比較小的電路都是ok的,目前這個電路真的比較大,所以我在想說百分之百一定是DC這邊constraint設定不好= =
/ P, X; V5 m( H導致我合成出來的硬體造成輸出都是unknown+ t7 y5 t# ^8 B$ F. J- W
K了一些資料 但尚未發現一絲一毫哪裡有錯....
% Z0 |/ I+ ]$ d/ j我的constraints大致設定如下東西 使用的製程是TSMC 0.18um:
7 M+ B$ }' c4 p
" b5 r* A, J; w5 B4 I1 R2 D; ?4 ^建立clock$ R2 o1 {& u$ ~. {5 m
4 y7 F5 |6 V/ k; Z; p/ u/ g7 h2 ?/ L
set_wire_load_model -name tsmc18_wl10 -library slow
% Y, R$ G0 t; N" g0 q. E- hset_driving_cell -library slow -lib_cell DFFX1 -pin {Q} [remove_from_collection [all_inputs] [get_ports clk]]. n9 W% e9 s6 o8 G& i; d
set_driving_cell -library slow -lib_cell BUFX4 -pin {Y} [get_ports clk]3 w! c2 o, r% o, D: Z2 d
set_input_delay [expr $clk_in_delay + $clk_in_pad_delay] -clock clk [remove_from_collection [all_inputs] [get_ports {clk}]]
. Y. t& Q$ c9 J0 K6 h& gset_output_delay [expr $clk_out_delay + $clk_out_pad_delay] -clock clk [all_outputs]# A1 T3 X; d: w# d
set_load [load_of "slow/DFFX2/D"] [all_outputs]/ j0 v2 A& P3 H1 b8 V) t
[remove_from_collection [all_inputs] [get_ports {clk rst_n}]] |
|