Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4998|回復: 1
打印 上一主題 下一主題

[問題求助] Xilinx CPLD "CoolRunner-II" use to OpenDrain pull-up 1.0v ....?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2010-6-17 16:01:44 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
請問個位高手,
* l& Y# \+ h) T+ F) l) V0 s0 V$ j1 h) X. ~5 H- x( L; F
小弟想用 Xilinx CPLD ( CoolRunner-II ) 做一個  1.0v OpenDrain I/O
/ Q$ A- c4 h+ \$ k( k當然 VIO core 設在 1.5v ( SPEC. mini= 1.5v )
6 W" J9 [; ?2 j, `請問這樣會不會有問題, 又該注意那些事...?
$ r  r+ e* r6 Q) ^/ u; s! C感謝..!!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2010-6-22 17:08:48 | 只看該作者
看起來似乎是OK的...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 12:29 PM , Processed in 0.101013 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表