Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 20532|回復: 22
打印 上一主題 下一主題

[問題求助] 模擬OP時close loop出現奇怪的振盪現象

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2010-5-21 06:45:41 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
大家好:$ K9 k. x- y- G: z0 [( c" H; N
  N7 T  n' |' B( h' S
小弟現在在模擬一個Folded cascode two stage的OP! z) ^! _% y( D5 h
其open loop的響應一切正常,增益約為90dB,PM=70度: ]5 z! E) N( J
但是把它接成close loop測試其settling時出現奇妙的振盪問題+ a/ l% U2 J! J' _: _0 G
已經debug兩三天,實在找不出原因,之前用傳統two stage架構沒遇過這種現象8 p8 ^- e" ~* B' r
不知道是架構選取的問題,還是有哪些原因是沒考慮到的
3 A1 E- Q  B3 e, o煩請專家們抽空給點意見,謝謝
" T3 S* A! Y9 T8 M/ [1 g
  T1 s7 X. q' j( n& l/ j2 _架構如圖:
8 D) C* j- L. W- }6 M; a3 I7 S+ E/ W  Y; d% R& G

/ s/ @0 U+ r1 |. j% U其響應如下:+ h# U0 Q; t& V; T4 d4 r9 i5 a

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享分享 頂87 踩 分享分享
推薦
發表於 2010-6-8 21:27:29 | 只看該作者
我的理解还是phase marge的原因,这种情况的发生是因为你是用线性区的mos做调零电阻,在扫输入电压的时候,在接近VDD的时候CC与RC(MOS电阻)形成的零点会飘,使得phase marge不够i。你把mos电阻换成普通电阻试试,应该不会有这种现象了~~
回復 支持 1 反對 0

使用道具 舉報

22#
發表於 2010-6-7 13:45:17 | 只看該作者
DC bias上  
: [  e6 e# }& NId(M3) 要略大於 Id(M1)=Iss/2 是比較好的設計
5 f! [' `( W# U4 \所以當Iss全數流至M1上時* z7 t0 Y% d* R0 x( N
M3也不至於完全關掉
21#
發表於 2010-6-5 23:38:02 | 只看該作者
謝謝chungming大的回應, U9 @$ A* I. p* z2 a. m
可以請問一下,考慮上述in/out common mode的情況下
+ P8 f+ E) t7 D, V接成UGB為何在模擬上仍可從foll ...
/ B, |0 Y7 R1 m: `; B% OBookert0921 發表於 2010-5-28 10:44 AM
! ^/ h4 a! M( y

0 A) I% k- s. l* B% N+ Z( y6 p' r6 M% i: u( M* h& C
    呵呵~~~! ~0 i# [7 q$ t" E1 _
依我看你的輸出波形並不是從"0到VDD"都可以follow阿
$ ]) h1 z) Y( e. `3 ~下限沒到0阿 況且接近下限時訊號已經沒follow了
4 a8 n* Y. t7 L. `) X, Q3 m(拖著長長的尾巴要很長時間才接近0)) o/ T7 t# i( Y
並且接近VDD時 已經震盪了$ G7 h( g* {  Z9 p- e9 s( q
怎麼會是有follow呢?
20#
 樓主| 發表於 2010-5-28 10:44:52 | 只看該作者
謝謝chungming大的回應
& S  M1 x: R* t7 l$ l0 h- L& s) N可以請問一下,考慮上述in/out common mode的情況下
' l  ]0 E3 N2 U0 H  S! _接成UGB為何在模擬上仍可從follow input的方波從0-VDD
19#
發表於 2010-5-27 23:50:01 | 只看該作者
your input command mode rage is 0 to Vdd - (Vsg1+Vdsat5)/ @( }- f+ J" L" z& i
output command mode range is Vdsat7 to VDD-Vdsat6
& m3 P6 c; }1 G* ~. ]$ Q
$ S+ i4 Q& k6 G8 t" t% iif this opamp is connected as unit gain buffer,( K# F4 e; r  }9 i
then the input & output command mode rage will be the same as vdsat7 to Vdd - (Vsg1+Vdsat5)
' b+ h1 n+ s; d- ?1 T1 @! O7 J' n$ O3 H. n
don't trust simulation too much !
8 K- G& }- g, B" y. ~, JIf you really want to design a real world opamp.
18#
發表於 2010-5-27 10:08:46 | 只看該作者
回復 17# Bookert0921 + T% b' m# q6 Q8 h, e5 I' \% q. h
我觉得可能不是这个原因造成的!
17#
 樓主| 發表於 2010-5-26 17:11:45 | 只看該作者
後來這個暫態的問題我自己的發現是因為folded cascode這個獨特的架構" p3 k8 K) R8 c! m9 b4 o
如同B. Razavi AIC的p.333和Martin的p.268,我把圖抓出來如下所式
9 P2 ^2 |* e7 _% e/ ]0 b1 l當Iss>Ip時會潛在性的造成電路有不穩定的可能發生,我當初設計時有加入clamp transistor(圖上沒畫但code裡面有)
: W, r  E' `; c& }
, m) S7 a3 t* ?- [- G$ P但是因為folded那級的電流太小,以致於NMOS的drain端遭受很大的暫態
+ `# e, f9 Z" D  J所以我就加入.ic去看該點電壓在何時會導致不穩定,在將folded那一級的電流給加大9 @* ]3 q6 h' m2 v% C$ S' @* B
如同書上所講,當Iss=Ip似乎是個比較好的設計,這是我這次學習到的教訓7 f5 w! c1 L- m/ E5 ?# S- X

/ |6 r+ i7 P( I" Y" W1 Q# m3 c如果覺得小弟哪邊觀念不對,希望大家不吝指正
( ~0 H+ R7 [7 L& k7 Z7 d  p8 f電路設計就是需要被大家教訓一下,才會刻苦銘心
/ s/ y. f$ X3 }5 v3 g' z以上,謝謝大家

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
16#
 樓主| 發表於 2010-5-26 17:10:23 | 只看該作者
回應li202大  W& W" H. X- c
輸出端肯定是可以跑0-VDD的,雖說是class A操作,在大信號的操作下
" e  c$ w5 j+ h0 E仍然有辦法把電流源hold住或者把它全部導到地/ E) I4 J8 ~# ^+ ]2 q; D( {
而針對你所說input DC位準在1.2V下其他電晶體會跌出saturation
+ C! |$ }5 s, k3 a4 o9 u  f我有不太一樣的看法,一般來說NMOS input,在意的是common mode的下限
; d; l' B: j. [; e. U/ m; U8 L1 q2 y6 x$ S而PMOS要注意的是common mode的上限,對NMOS input而言8 S$ N0 q! b7 k: Z5 B$ ?  S
只要操過那個點之後電路都會維持在saturation region
( _8 g! [& d8 X- V  m8 u而會改變的是電流源的drain端voltage,但那只會讓電流源更加的deep saturation* i4 v* E7 a) v3 ]
所以應該不會造成其他電晶體跌出saturation外3 n8 T0 |7 v$ ~5 F) a
而輸入端rail to rail我在前面回應過阿森納大,我認為輸入不是rail to rail沒關係
; x+ @0 J: U2 F: R" p. {9 T. ~若有rail to rail的方波打進來,接成unity gain buffer後只要輸出可以rail to rail即可呈現
15#
 樓主| 發表於 2010-5-26 17:09:48 | 只看該作者
本帖最後由 Bookert0921 於 2010-5-26 05:10 PM 編輯 # S' v! |/ X5 D1 s* v) n9 d2 x
7 {# I) W) Q) |6 u  @8 e
我後來debug出來了,跟大家分享一下,以下先回應各位大哥的問題
3 K7 H2 G5 v- W! K期望可以學習到更多的東西
2 E* A; a: g. q: [$ |6 S! ^
6 v8 x& u. x% l2 Q" J2 J" E回應阿森納大
/ X$ r# O0 l1 e就算input不是rail to rail,其整體操作打0-VDD的方波在接成unity gain buffer還是可以達到應有的輸出
1 K6 f- ^" @. x9 O4 s只是在那時並非操作在電晶體該存在的區域,所以速度會呈現像是e^-1次方緩慢成長而非線性增加( \  w. U" h6 A; G; X( A
以下圖為例,是一個PMOS input的two stage OP
1 D% }7 L. F, d5 v& K& e8 p8 z, t. j- w& b; a! \: d5 y+ [
當Vin+端為VDD時,電晶體關掉,而Vout逐漸上升
' U5 R& ?3 I( G% m( N+ X' p7 Q; y左邊Vin-那顆電晶體也逐漸關掉,但相較於右方輸入級接到的是絕對VDD的值9 G* V; f# B' E
左邊電晶體關掉但因為Vout還沒等於VDD所以subthrethold leakage會比右邊的大
) j  o4 z& v* C! Z% v8 Z最後逐漸將他充到接近VDD而完成一次buffer的操作0 P' l5 R3 k$ _5 E* e

# c( `, Z+ v- [: Y- m( z而輸出端是rail to rail吧!輸出為零時把下方NMOS壓到triode region輸出VDD時電流源自己triode* r2 E/ A  x  G9 z
我之前在模擬一般的two stage OP和gain boosting OP時打方波進去都是OK的: A$ O. \8 x# e$ q! a$ A/ T

/ Q& x) \; H" g/ W0 {* T4 E$ r! L回應e2000大* _" N0 \- E) L9 B& r6 |
channel length是為了在低壓下實現出高增益的放大器! V6 S; I1 B/ C- F
主要是因為之後要做的DAC大概估了一下gain error導致的nonlinearity而算. N& y* `! C5 K+ v* T
速度上的考量是還好,重要的是精確,所以當時才會以length為1下去做設計

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
14#
發表於 2010-5-26 09:35:37 | 只看該作者
VDD才1.2V, . R; X" ]' N* D* a! o) B) J
輸出端又是class A, 怎麼能夠讓你跑rail to rail??
# u( I, \4 K2 S' c1 \Vin能到0V也是大有問題,輸入端也不是rail to rail,7 b3 _% B0 ]0 U- G# F8 h( u
Vin=1.2V的相位失真應該是在輸出端, 因為早就失去它飽和區的操作~~
% v1 J! j* M, gAC沒有問題是因為你的輸入偏壓點DC=0.6V,當然合乎她的工作範圍. F: V/ }9 X% @; a/ ^) C
用sweep的方式,DC=0~1.2V,你就會發現哪些偏壓點的相位失真了
+ O4 R( P1 b( t4 i+ ~* c* @  L5 P9 l, ^$ e: f
這是新新手常出現的問題
13#
發表於 2010-5-24 14:22:19 | 只看該作者
檢查一下bias ckt 的 vbiasp vbiasn 波形是否為一常數值
12#
發表於 2010-5-22 22:35:12 | 只看該作者
看起來是你第二級那邊有問題,一般的摺疊疊接怎麼跑都沒有這問題
" x0 P& J3 i7 i& D% \不然試看看把把L調整一下,不知道你是不是因為要衝增益或是計算方便才把L調這麼大
11#
發表於 2010-5-22 01:13:45 | 只看該作者
You opamp is not rail2rail in or rail2rail out, and even for 1v application, still you need to decrease the vdsat of your current sources
10#
 樓主| 發表於 2010-5-21 18:50:30 | 只看該作者
以下為第二部分,感謝大家看到這邊- L( m" h0 @' B  d7 L4 O2 z

6 y( H8 G# ?) N3 I; f$ o' o: z" }Mbias2        n3        vbiasn        gnd!        gnd!        nch        w=5u l=1u M=13
! V2 ]" [# E$ d- Q* S+ b" Y- k8 x( f( o! s- Y; D
*two stage*
2 }% C2 _7 x- k# O8 N/ O; a' W/ J
Mt1        vout        out1        gnd!        gnd!        nch        w=10u  l=1u M=61 Z# C& ^8 |3 w9 M1 _- K2 e
Mt2        vout        vbiasp        vdd        vdd        pch        w=30u  l=1u M=24 D; y" r2 s% P7 j
! v4 I0 ~- a" |, U
Cload        vout        gnd!        200f
; e# b. y+ A; i! b* x/ ?/ ^$ t+ U3 l, Q( O- v
*lead compensation*: J. W0 B. V* |- x& r' E, T
Cc        vout        n7        4p; h9 z1 Q% S% {  Z
Mc1        n7        vdd        out1        gnd!        nch        w='Wc'  l=0.2u M=1
1 [4 V7 K# F9 @4 ?7 s% J*Rb        n7        out1        'Rb'5 T+ V* g2 S- K8 Y6 F
.param Wc=0.8u& j+ l. v6 P) P* d9 V

5 A0 {" v4 c7 E! }) Z( d. Q* v****** Analyplysis ******
' d3 }% W. v# C  i! p.op
7 y# @# ], s8 g2 I1 E) y: ?( F*** DC ***
2 m" k, r% `2 M% y0 D/ j( Y( \*.dc vminus 0.59 0.61 0.001
# Q9 {1 y$ F, [7 N2 U- O8 h*.measure dc        Input-Offset        FIND        v(vin-)        WHEN        v(vout)=0.6       
- O  u; j; U9 c  M2 m8 H5 n*** AC out ***6 s, D4 D% t3 `9 i
*.ac DEC 100 1 200X
1 S$ X/ N( O- c1 k6 }# n.measure ac         Unit_gain_freq         when         vdb(vout)=0
6 l: I. @7 j! H/ `5 c, R* |' K; V# l.measure ac         phase         FIND         vp(vout)        when vdb(vout)=0
: K8 S* [+ l* ^.measure ac         gainmax         MAX         vdb(vout)
) w0 s6 q+ v1 d" s.probe ac PM=par('vp(vout)+180')
& `! @# ~8 u* T1 y) U.probe vdb(vout)
  k4 \# P0 ^8 v1 v8 F.probe vp(vout)
$ @8 Q* @& l" q( W0 {: g8 ?.temp 27
( X: L8 B/ V& L! r" ]0 A*** Slew Rate ***0 H% E; A: X+ n* m' d% C, o
.tran 1n 2u *100u# u, V2 ?( g% B) L
*.measure tran UPSR DERIV v(vout) AT=0.5u
, m1 r# n/ p( d, J- K*.measure tran DNSR DERIV v(vout) AT=1.5u
) B# i+ z- a+ D) n; n) z
( J0 I% ?6 B2 e( r' k: X.end
9#
 樓主| 發表於 2010-5-21 18:49:44 | 只看該作者
我貼code好了,剛剛發現點圖好像除了我自己以外都要錢0 e/ t$ B# ^5 [; u; B4 |1 J
真的很抱歉,我不想故意歛財. ~9 I7 N0 S! x: @. y# ^# Y
( _/ W! o9 @. v
以下為第一部分
/ ~& R- z. j; Q
+ E6 f1 R# Q  T% f8 u6 n) A$ E.option post accurate acout=0
: C9 [9 V/ ?: w$ @.global vdd gnd!
) k- q  S9 \, ~+ T% F" |! B; Q: W  B' \& p1 j2 x6 ~
****** Supply ******
- a3 g1 w* t2 Y* h" R8 |) a( S- X/ g6 c( w, P2 @- ?# y# g
Vdd  vdd gnd! 1.28 e% y. z3 c; J3 _0 T4 ~
Vss  gnd!  0  0. ^- n" X5 g3 p2 R
Vin1 vin+ gnd! DC='vdc' AC=1
6 L+ F1 U( l" K4 u! }! C" oVin2 vin- gnd! DC='vdc'
: m) O- _- ?/ `* O7 u# o: P; U*Vin1 vin+ gnd! DC=0.6 pulse (0V 1V 0 1u 1u 10u 20u)*SR0 a6 v6 d7 i7 k+ k8 p1 x% U
Vin1 vin+ gnd! sin(0.6 0.6 100X 2ns)& h/ h* G4 L& j% Q6 D! I1 S
.param vdc=1
) ~! W2 r" a$ F8 x**************************************************
: m: M# t7 c# N0 V$ M2 O. J; T+ Q8 E7 K7 v& K) s4 J; _
*bias*2 A" z9 ?7 z6 {- V: O4 v4 f; X, t- B8 K

4 j, I9 P: i' l8 J- c# s.subckt BIAS vbiasp vcascp vbiasn vcascn* Q! ~' D9 k& ^6 i, \
* ]9 g3 o/ D% M6 ~0 H5 o
M1        vbiasp        vcascn        nc        gnd!        nch        w=10u l=1u M=1" \; T6 N1 `6 o. g: X$ J9 D0 h# x+ u
M2        nc        vbiasn        nd        gnd!        nch        w=10u l=1u M=4" M; e/ v+ H( R, E$ y
M3        nf        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1
& M  [2 `0 t  IM4        vbiasn        vcascn        nf        gnd!        nch        w=10u l=1u M=16 h$ U' D7 ]8 s+ |  n' l6 [
M5        vcascn        vcascn        gnd!        gnd!        nch        w=2.5u l=1u M=1
4 a8 Y6 ~( |0 _8 h( ~0 P# k4 o7 kM6        vbiasn        vcascp        nh        vdd        pch        w=30u l=1u M=1
  t& A; k$ X7 \0 ^3 N) h: p, zM7        nh        vbiasp        vdd        vdd        pch        w=30u l=1u M=1: \* `: L5 j* Y' o) Q
M8        ni        vbiasp        vdd        vdd        pch        w=30u l=1u M=1
! a2 b  ]/ N: q. ?M9        vbiasp        vcascp        ni        vdd        pch        w=30u l=1u M=1
" b5 d" A& L8 [( V# f( }M10        vcascn        vcascp        nj        vdd        pch        w=30u l=1u M=1
  [5 P+ V7 c3 D4 d! vM11        nj        vbiasp        vdd        vdd        pch        w=30u l=1u M=1
0 R0 b; Z" n' @' b% F+ A' jM12        nk        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1
& F! @& l3 a0 G* [/ QM13        vcascp        vcascn        nk        gnd!        nch        w=10u l=1u M=18 Y  @& F& `, b- z
M14        vcascp        vcascp        vdd        vdd        pch        w=7.5u l=1u M=1$ `* O9 A- `- g) I7 Z
Rb        nd        gnd!        2k6 X( ]' y6 C# z1 f

  P6 p) _- I) |/ U: ?) k*start-up*
" x) M! H6 i; o6 ]M15        vbiasp        nl        gnd!        gnd!        nch        w=10u l=1u M=1
- g) ^, d4 l+ R3 ]0 U8 ~7 m) mM16        vcascp        nl        gnd!        gnd!        nch        w=10u l=1u M=1. ?& @2 D7 H, I1 m
M17        nl        vcascn        gnd!        gnd!        nch        w=10u l=1u M=1
2 Q$ r, v" }- OM18        nl        gnd!        vdd        vdd        pch        w=0.2u l=1u M=1/ o$ ]: G3 d" h3 V+ a9 D

/ A& s0 B5 ^6 b1 f.ends
+ n/ J3 a( r( r; i, z1 P
+ _" c" w" `( q' G% yXbias        vbiasp        vcascp        vbiasn        vcascn        BIAS
2 X* I' g! H* b! ]' W: @+ Q
# ^$ M' L6 n" L9 Y$ B*first stage*
, Q" G" W# ?  d, @( ^Mq1        n1        vin+        n3        gnd!        nch        w=10u  l=1u M=10# ]# V5 x# j+ H$ o# Q1 a# x8 Y, c
*Mq2        n2        vin-        n3        gnd!        nch        w=10u  l=1u M=10+ P7 B( [: X0 r9 U" N% H1 o  [
Mq2        n2        vout        n3        gnd!        nch        w=10u  l=1u M=10 *SR test0 [' a6 f) r# m5 c/ V8 b
Mq3        n1        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4" D- ~. h" {& x8 f
Mq4        n2        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4
! a  s" O; I% y# IMq5        n4        vcascp        n2        vdd        pch        w=30u  l=1u M=19 k3 Q1 t/ B6 b  ]1 w
Mq6        out1        vcascp        n1        vdd        pch        w=30u  l=1u M=1
: P9 V4 A; ?  z9 C8 m3 BMq7        n4        vcascn        n5        gnd!        nch        w=10u  l=1u M=1
) O5 k/ d6 S: ZMq8        out1        vcascn        n6        gnd!        nch        w=10u  l=1u M=1) O% n* x. ^; e. U8 ]
Mq9        n5        n4        gnd!        gnd!        nch        w=10u  l=1u M=1
' x) G: `( V4 c) J& V& aMq10        n6        n4        gnd!        gnd!        nch        w=10u  l=1u M=1" T. x/ s# h5 l0 C7 I# S6 X
Mq12        vbiasp        vbiasp        n1        gnd!        nch        w=10u  l=1u M=1
( u+ S3 k2 |* p4 NMq13        vbiasp        vbiasp        n2        gnd!        nch        w=10u  l=1u M=1
8#
發表於 2010-5-21 17:41:53 | 只看該作者
請問樓主你跑AC分析時, 你給的信號輸入DC 是給1.2V嗎???
1 B8 x2 t2 p/ r# _: f+ C若是??你的power是1.2V, 輸入DC LEVEL 1.2V 有些
4 Q- [: `! z& u$ e- |# e2 oMOS的操作區間應該會掉出飽和區, 這樣gain應該會掉下來
2 S- W: h, L, M$ Q若不是, 那你的AC分析點並不是你跑暫態的操作點, 這樣你的
! h% T4 M6 i8 H) }; V頻率響應結果並無法對應到你的暫態響應結果
7#
 樓主| 發表於 2010-5-21 17:34:43 | 只看該作者
以下為我的spice code,煩請有心人士不吝指教
7 [5 X5 H$ ~9 P7 h. e( R, J因為有點冗長就用貼圖的" d7 R# r! R: a4 `
& T. T# q/ i) ~; W! p

- L2 d) V: U# F6 ~+ y

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
 樓主| 發表於 2010-5-21 17:26:51 | 只看該作者
感謝阿森納與suewe的回應,我的loading cap.都是假設為200fF
" S& c, [. w% a8 E您說的將X軸的time step改小我試過了,仍然得到一樣的結果
" w$ y+ A& t5 R$ d) Y3 |8 b' ]/ P其電路的接法就如同傳統的unity gain buffer如下
/ X1 [* V& u* A1 R0 F% @6 B4 u; o& u在vin+端打入0-1.2V(VDD)的信號來測試其slew rate與settling time2 m$ G8 j2 Z$ r5 S; |2 ]( b- y
$ h" \, i; ^; Q  u. N1 r. r
很奇妙的是,如果我打入的輸入信號是0-1V就不會有這種情況,如下圖所示1 D+ Z1 Q& @# X0 e* Y
此時的slew rate就"看似"為正確的$ w  j2 a9 E% J' I
3 q4 m! }) B6 T$ N* v3 `+ ~
但對Y軸zoom in會發現還是有奇怪的振盪信號存在* f- \; B2 ?0 N' g2 v5 [  \/ q9 ]

' ^+ M- d. B) _7 \5 M打弦波去做測試,發現在input為100-MHz時
& K* G/ Y5 e6 h( z7 I會有一個很明顯的反轉現象,關於這個我沒什麼sense! ~4 I: O9 ~* H# m  u  j
打10-MHz或1-MHz的input,輸出也會在某些地方會"措"一下) T3 s3 ~; O6 A8 j- t4 T2 b0 l( q
% t" v3 C+ L* E) I& E0 S! U" b
在小弟的認知上,open loop的PM對應到的是close loop的damping factor: m% }3 A" w& Z) M
大不了就抖一抖,但在PM為正的情況下會越抖越小$ n$ U2 u9 j! j( p, x. x
然而這個現象比較像是在某個點上滿足巴克豪森條件" x' _2 o- `( r' N6 `6 b8 N5 n
能力不夠實在是無解,或許是我電路有接錯也說不定

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
5#
發表於 2010-5-21 13:08:03 | 只看該作者
請問一下,run ac & train分析時,在output端的load是相同的嗎?
+ K' \5 c& p& C/ {8 m$ U5 N2 ~) T6 X奇怪的是,在ac看到至少有100MHz的unit gain band width,怎麼會在train分時,slew rate要10us?
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-22 09:58 AM , Processed in 0.136017 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表