Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4759|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led
6 r6 U. i2 f2 {' ~* n//==================================================//
! {( R# r3 r8 [4 t`timescale 1 ns/1 ns
: U/ I% u7 W( E) D) Y
8 J1 n" D- T6 \' p  module  test_001(
1 I+ O  W' t3 J5 L                   D,8 M9 ?  A+ r8 \# B" X( L6 `5 B2 w1 b
                   Q,3 J: l) C; f6 Y! Y
                   clk,9 |/ F( r- I# V
                   reset,9 s8 x8 ?# ]5 ^* g% k* U2 t
                   QB' s9 ?) t  m, {  u
                   );3 ?5 h3 w2 d8 a9 u
input   reset, clk;! a. x0 c$ C6 a+ S, @7 l3 Z( \
input   [3:0] D;
5 q' X/ X' m' {: U' M/ foutput  [7:0] Q;' H9 ^" T  V" I3 v) U$ s# S
output  [7:0] QB;$ [) M0 i' `0 I' I9 ^1 k
wire    [7:0] Q;, C+ O4 ]8 r# h) \
wire    [7:0] QB;
" }  q# ~* d- S4 h8 }) ~2 yreg     [7:0] X;. Q; D6 D3 ]5 {# A5 Z
reg     [7:0] a;
& |1 G' p8 d: R% e
, e# q6 B2 V1 ~' r4 E9 t9 }5 l, B+ {9 f6 ~
; Y7 g) ]1 t. r% ^( S# |2 r
* I% c9 O0 q- \% R6 }9 N
always@(D)  O3 D( @8 j; r9 R$ G; g7 j
  begin/ |, }, g7 e! Q3 q- C/ l! m- Z5 B  @
         case(D)" h+ ]+ K2 Z" q7 j- x& N; V. U5 F8 r) N% V
             4'b0000   :  X = 8'b0000_0000;5 R0 O# n/ A/ ~0 f+ H' P$ v
             4'b0001   :  X = 8'b0000_0011;
- @  z. `% B8 I& K             4'b0010   :  X = 8'b0000_1100;
2 j& A1 o: w4 y% i2 I             4'b0100   :  X = 8'b0011_0000;- h/ J0 w$ g% z& M- j2 c
             4'b1000   :  X = 8'b1100_0000;
7 |. ~+ d5 _7 v, w, K             default   :  X = 8'b1100_0011;
1 y# {6 a0 ^8 }) ]         endcase    4 V2 @/ G  j1 a# t
  end             2 ?6 r, ~5 ?5 T8 m4 N* h% T3 ~
  $ O. q9 \: @) A& {/ o/ g' f/ ~
assign  Q =   a;
3 Y7 K: i1 ]1 `8 |7 massign  QB = ~a;
2 t- O4 c5 F; n$ ?            
, @+ Z0 E0 U' T* s* {always@(posedge clk or negedge reset)
: E0 y. v7 m8 u5 {8 i0 I  begin
- w! S$ `% T  Y7 H     if(!reset)
% |; T* \% i; K$ E: v, x          a = #1 1'b0;
2 U+ X* [6 B& G; o2 k) r2 R     else
3 }) \; o( s8 ?0 s: j          a = #1 X;" W4 W+ q" w* B# L& G$ J  w& i
  end                                  5 |. B6 C, {( J! O: F# `
   9 f3 E' ?. I7 K, S/ E* D5 C# q  D4 Z
  endmodule  T) q& M3 {. _/ p) r* N
//===========================================================//- I9 H6 K. {! u
然後以下是Quartus產生的qsf檔。( j% e9 U0 ]7 r( ~& _1 h
//===========================================================//
7 M  j3 Z2 V" g( W! w# Copyright (C) 1991-2006 Altera Corporation
# _  C6 S7 \7 h/ o# Your use of Altera Corporation's design tools, logic functions / Q1 o4 _6 ^- g' c
# and other software and tools, and its AMPP partner logic
0 n* P' q9 ]  z) V1 u# functions, and any output files any of the foregoing 7 Q% ]2 c5 X3 r  z/ Q  X
# (including device programming or simulation files), and any ( y  s5 C- m) X* v
# associated documentation or information are expressly subject 0 v; g1 Q- _/ _5 b8 @! z9 o' A: m( \& M
# to the terms and conditions of the Altera Program License
* z2 E# I/ J; v, E1 I# Subscription Agreement, Altera MegaCore Function License
5 Q8 |; V5 W6 a0 L# Agreement, or other applicable license agreement, including,
5 d+ @& E! K$ _  p# Y: o  ^5 b0 h# without limitation, that your use is for the sole purpose of ; G+ ^3 k7 x; X) m9 s
# programming logic devices manufactured by Altera and sold by / n1 G# r2 `+ a: y6 y
# Altera or its authorized distributors.  Please refer to the
/ E. i0 D' y: }4 ~3 p# _# applicable agreement for further details.* m0 ^, V% a( p/ C
1 d/ G' P: u* U5 Z8 X! ]; X/ }, o+ U

- a- s2 O, I3 d# j6 R& x5 e# The default values for assignments are stored in the file1 w/ d! j. N) k
#                test_001_assignment_defaults.qdf8 D9 L/ A1 G# t3 Q" D  W
# If this file doesn't exist, and for assignments not listed, see file
& U: B+ ~' a1 b#                assignment_defaults.qdf& H+ Q: E# `, L. h7 M

1 ?, s& B% U0 e9 ~7 W7 c" `# Altera recommends that you do not modify this file. This3 B& t; k3 x5 ~5 l/ M! `% \- J
# file is updated automatically by the Quartus II software
' O. k& K5 b0 f7 b. q# and any changes you make may be lost or overwritten.
9 c1 G1 u+ X# y  M: G8 P1 W; Y* R& d3 Y# `  _) W
1 a; y& j8 B9 V% f2 i
set_global_assignment -name FAMILY "Cyclone II"
+ I% y, x8 B7 j* X8 c2 ?set_global_assignment -name DEVICE EP2C35F672C6/ G- X- \+ X, K, Z& L) I; ~
set_global_assignment -name TOP_LEVEL_ENTITY test_001
9 U; B( V6 D* `set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.07 i6 U" g1 r& f$ F6 Y
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"* S. M! o$ ~# p( W. R
set_global_assignment -name LAST_QUARTUS_VERSION 6.0: b4 _7 ], i5 n( G; Z1 y, ?
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"+ e+ }" M# s2 z: D" u( [3 h
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
+ @" o6 S8 D6 K/ Mset_global_assignment -name VERILOG_FILE old_test_001.v$ }3 m: {+ a+ `# g6 y& n5 Q" x9 t
set_location_assignment PIN_Y11 -to D[0]' C7 i1 o# f! H/ y  q6 E
set_location_assignment PIN_AA10 -to D[1]
9 n9 J0 F; m+ m4 Dset_location_assignment PIN_AB10 -to D[2]
: p5 T  A7 Q3 p, R, V% }" ?' zset_location_assignment PIN_AE6 -to D[3]( |. g* l8 \' c6 x
set_location_assignment PIN_AC10 -to Q[0]
% v& I* ?0 G2 w5 @set_location_assignment PIN_W11 -to Q[1]6 K% a( J' @! ]# m* A
set_location_assignment PIN_W12 -to Q[2]
, k, ~1 d* v4 p( r  X" t% c/ iset_location_assignment PIN_AE8 -to Q[3]# r/ Z2 z% ~# a
set_location_assignment PIN_AF8 -to Q[4]
4 J4 A! D, N% w1 K+ @set_location_assignment PIN_AE7 -to Q[5]
& d7 v9 {4 V! S1 U4 dset_location_assignment PIN_AF7 -to Q[6]6 D( U7 B4 D9 |6 x
set_location_assignment PIN_AA11 -to Q[7]6 j" l6 Y# L' \5 D& K* p
set_global_assignment -name SIGNALTAP_FILE stp1.stp
: t6 A  C' K, K) i# Y+ ]. C: \5 _set_global_assignment -name ENABLE_SIGNALTAP ON
& g- i& \9 v4 f5 F# ]set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
" V8 K- R' s: ]0 a0 J. U5 B- Xset_location_assignment PIN_M21 -to reset9 D* V! q: M2 j
set_location_assignment PIN_P25 -to clk! P/ U  M. n% J; U
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"/ [; o/ [5 k- w3 ^& Y( l" ]5 j
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis
& l/ v2 B9 B! i8 e  Sset_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis
7 z5 l' X$ G% i. Mset_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis
$ Y  @3 l" Z# n//=================================================================================================//
8 Y$ A! }- z9 {2 |" `1 N我的問題是,不知道為何怎麼樣都燒不進kit裡,. G8 M  S+ @% o. X' ^
已經排除並非JTAG跟KIT的問題!
9 l9 w! o: \, U/ k0 T1 \請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者

) [6 x7 ~' N* D- n& k7 Z只有WARNING0 x  N- e/ e0 P8 {
沒有ERROR
1 h' S, l/ J; w/ L+ }這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??
  w. F: F$ t' {7 z7 O. H+ w6 G8 N  C不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

' X/ G1 Y: Y+ I: g. a* w( l5 K8 t1 `0 v# b/ B
這是program的畫面
8 @& c! i+ E: B' {+ z% h) _9 t% [& K# x
' h3 f6 u( S& ~/ g- m3 k3 J
6 x9 B* Y# t) `0 G) h' p1 _) b2 Y
這是assignment pin的畫面
9 _/ r$ Y1 ~% A1 y. D/ [9 P! X. X' i# U9 c4 `
- w. S* ]' Y- X, K/ @

5 V1 l/ y% Q0 I4 H" s- E1 W6 n這是燒錄下載到kit的畫面
' k: ~  V# z, e! d; K, w
) e8 E4 T- U" c" Q' E####################################################! q" X, Q3 L$ q3 i8 [" p- n
到這都很順利,+ W+ h$ V/ H7 F, ]) C% Y
但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?
! o. T1 [/ w$ D導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧* m) C- a3 V3 ^. [  w* m, t! m" I

+ ?8 j7 k2 g7 M) b" Z8 F看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!# o4 {% G% z  m0 S8 J6 b
( f& k' D  k  \/ a

2 c4 W! q- p6 H# t0 O% I
$ E' |4 s. m1 d7 w% }
7 ]7 A! z5 F, p' v7 E1 X# G
$ v+ ]% i: B0 ~# Y. f8 j
: R. W% s/ o1 f( }& A7 a9 r% s$ |2 K* w! L. P1 F+ U6 @% R

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟
& q6 h2 T. Q2 d$ A- H) G上面signaltap2跟in-system memory content editor不要亂開,
' H' B. Q# Y9 b5 l特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面5 M2 Y( ]+ {7 m/ O/ Q& y
發展板的manual要K完, 有沒特別的jumper要設mode?
; ^% i7 n! r5 b& h% s; i另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,  y- Y7 y- R& P1 o
那就是你的設計的問題,/ V- N8 w8 P0 d. k6 v0 z+ N
這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

+ \0 s" v/ K, W# I& w4 Q8 m感謝各位的意見~
) q2 u: L" w5 l同樣的描述~
* y. C( ?. l- a7 ~( q# r6 n  Q6 g我放到altera另外一塊kit→EP2C20F484C8(茂倫)
+ V/ {+ I, s) {$ m所得出的結果就是我要的~0 F0 p  y" f! q* G3 g" B
差別只在PIN的ASSIGN
0 f/ y. }' S4 [9 ^7 c這樣子可能會認為KIT有問題~
& t# ~: h* A& s+ s5 n所以~4 _& k1 L. r( ]. J9 O
我又重新寫了一個
! B3 G: y4 Z9 ?; ?) {+ M放到altera EP2C35F672C6這塊KIT~# ~& L; f8 x( h$ ?8 X
; x7 b5 j0 [! Y6 l" y$ I
居然可以動作了~- k9 B  z1 }$ N; @3 g0 d' N' M
以下就是這段硬體的VERILOG HDL
7 _) [- ?& e/ T( }! t! k`timescale 1 ns/1 ns
9 Z7 Q2 _) y% s% j; b3 lmodule chip_top (9 `) m4 S' f- R
                  clk,
, a! o* D2 x( O2 V# Q                  rst_b,
/ [- Q% v, [/ k9 j" J+ g. q6 `                  cnt,
$ V# |% q( o+ b                  seg,  
$ U) _+ Z( v7 G5 a                  a,
$ J; D, B7 B, Q+ |) }                  sel,& f& U3 P' \4 G5 t
                  seg_u9,   
0 a0 Q3 V  w* V                  rst,
  p; K# Z$ e9 ~1 J% D" j1 u5 z                  clock,
8 I* D8 w* W, M7 o3 M$ z0 P# c                 );
5 b; b+ a8 ?9 o7 a/ V  
: ~7 k: W2 v; ~1 f   # M/ E. Z* T6 R- @" r" \% ^$ w, N
  input  clk;9 M) e6 p4 |6 k4 p/ p6 J+ R3 V
  input  rst_b;
% m% z4 f+ N  G- G  input  a, sel, rst, clock;# h, |- J- C& C) W/ H
  output [7:0] cnt;
3 k( f+ l% \, \3 _# Z0 t  output [7:0] seg;
4 {  d  @. M1 u  output [7:0] seg_u9;  U4 B2 \) m% D+ s; P
  reg    [7:0] cnt;- i' V. `& G: I) T
  reg    [7:0] seg;
0 U8 W9 J0 S: I( q$ N  reg    [7:0] seg_u9;
6 [  }! g4 R+ C8 g' G; ~  reg    [40:0] clk_cnt;
( `4 Y! w5 ?, T; `. ?) ?  reg    [40:0] clk_seg;
! q3 O% \! |; e) |' f  reg    [40:0] clk_seg_u9;
/ d9 y9 `7 X, B, ?/ k5 T' f/ ^    wire   clk_cnt_end = clk_cnt[20];
1 y: O8 T+ {0 o) Z# x. ~   wire   clk_seg_end = clk_seg[20];
5 Q2 O& b7 X, F+ @  wire   clk_seg_u9_end = clk_seg_u9[20];; b' s( z3 P5 I  N; q, Y9 {* l
  / \9 ]& J/ F. w& ?$ j
  
3 g* z8 G) \% l$ |; `//---------------------------------------------------------------
3 B# _0 x6 f2 M: h9 M3 P+ i# t& G3 `: H  always @(posedge clk or negedge rst_b)
) A) `' ]' [5 h3 E) g+ o    if (!rst_b)
( w' }" ~/ |% l( J              clk_cnt <= 0;
* V: G; T( b, s. N    else  - Q# |9 \8 p$ O- c/ T2 M+ a" i
             clk_cnt <= clk_cnt + 1;
, R* B0 P! x) J$ U7 u% P( {; R% a2 X( e# f* r9 i
  always @(posedge clk_cnt_end or negedge rst_b)
* ^  F3 s. K2 M2 l5 T4 F    if (!rst_b)
" [, {" S. w/ w% t4 M              cnt <= 0;
; M' w$ w! e+ @9 c' m- j# h( \' ^    else * o, {2 z2 N6 R- n0 G* E: o+ |
              cnt <= cnt + 1;
% Y- w8 t# M% N; M" }/ f- }& Z6 s) Q& [7 J( _; I4 o4 v+ `4 R
//---------------------------------------------------------------9 G, \# O: L: J0 e6 z

1 i) @2 u3 V0 V$ ~9 J+ l9 e. ]% u9 U7 |3 b  g4 _7 x0 E* |2 E# B
// always @(posedge clk or negedge rst_b)
" w3 ^+ G; Y; c" _  |//   if (!rst_b)
5 A& [, y6 O0 n: H5 d0 a! S//               clk_seg <= 0;
9 L% {, A0 k! |0 Q//   else 4 `7 v5 U! a) l
//               clk_seg <= clk_seg + 1;
# @+ w  y5 K1 {9 J, [, q" h0 x: {% L$ K$ V
// always @(posedge clk_seg_end or negedge rst_b)
# |- z5 l3 E& F5 L; z- ~; U- V//   if (!rst_b) ( Y1 D, a  d( b5 l
//              seg <= 0;+ U& B6 p( T9 e3 S8 s
//   else
. U2 r3 V7 h! V6 _8 [//              seg <= seg + 1;4 c# s; B8 i& J$ |. g
//---------------------------------------------------------------     % K9 J. U8 C7 a$ G, j
//===============================================================           
2 s* O3 M) h5 W/ f! E//  always @(posedge clk or negedge rst_b)
6 m; N( x3 u7 U/ X//    if (!rst_b)% x) t0 ~/ s% s+ W" A5 [) ]9 }' w& _
//                clk_seg_u9 <= 0;5 L/ N- Q/ y% ^
//    else $ R0 L$ y* A" t  A) c* Q: o1 g/ O
//                clk_seg_u9 <= clk_seg_u9 + 1;2 R$ O- z1 y, H

* h' f. l, C' R9 C8 U) a6 {//  always @(posedge clk_seg_u9_end or negedge rst_b)
/ A* j6 W7 {5 z/ j//    if (!rst_b) & ~( }, p9 w  P8 j
//                seg_u9 <= 0;5 w0 i. S3 ?8 X  ]
//    else& `. Q/ ^/ u# L& f0 N
//                seg_u9 <= seg_u9 + 1;            
' W; a7 Q. K' U. |//===============================================================     
* a6 C' x. [/ H1 u! Y    always@(sel or a)
9 t  a. t5 Y3 }& v& ~1 z( w7 h+ ^   begin) s" F1 a+ h% `  H7 I+ i9 K( p9 _
          if  (sel == 1'b1)* j& T; a. ~' P' Z0 T8 ?
               seg_u9 = 8'b0111_1001;              
: S! \1 W2 V% E/ [% D! d* x    end     
# @4 L" t& T" h) m* ~8 K& Y     always@(sel or a)/ l4 |! n5 g% [# E" k" u3 r: k3 }, _
   begin
( y7 n8 Z/ P: K1 C          if  (sel == 1'b1)- a6 E# B0 g0 |  }$ d
              seg = 8'b0010_0100;              7 t: {$ {  g9 H; ?. Z8 \. l& _  I
    end  ! q; Y+ u. M" G# o. j
//===============================================================( [) J1 b& L) C# F" U5 x8 x* [& e( g
      
! {6 \3 v% ?  w  x' ?7 Sendmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-18 11:49 AM , Processed in 0.187200 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表