Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3403|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:
* _; W' |6 o0 j' i" ?4 V我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
# R; C* J2 x; I. Q# [7 o$ U( h- ^) c# }' i
LIBRARY ieee;
8 ?/ @7 x0 X: b8 a2 s, wUSE ieee.std_logic_1164.all;# G, I9 F) Q9 a, h  j. r" U
USE ieee.std_logic_arith.all;
% j5 g0 G+ {& ~  [( }7 B. B6 g/ R. F, N
ENTITY memory_64 IS
. e1 [  I$ ]6 B( A/ B: o   PORT(
3 `) v: q3 u. h7 g      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );! s0 c* [8 E  e
      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );
; F1 s) u+ X1 V% c4 S      clr_l    : IN     std_logic;, X2 |6 ~/ H! c! j8 {% U
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )
$ W7 `% B6 v1 c2 p9 J& b   );
  i8 P. f; f$ ^
* k6 V: v5 Q& q, ]% n-- Declarations) b$ n: x- H+ F  n5 v( z# z

7 t  \/ @; i) B- `* y6 Q  p1 MEND memory_64 ;; q" e* b. A% Y, l+ h- Y
. C5 o/ L, O0 ?) z
--* B+ m# m4 F% f4 P- I
ARCHITECTURE arch OF memory_64 IS
6 \( t, [& o7 m& s* M-- column decoder
! N. R; ?* I2 kcomponent mem_coldec
# T3 {; Q0 e1 a4 B* O9 b% f; o$ }   PORT( 6 K" C: w! X/ x' `& q+ x
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
) [' W' e3 m. E7 s# U      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
" |) l: g5 I+ Z* r4 i/ a( `8 s   );% @+ C. }  V- d8 \
end component;
7 j5 s; I4 J4 d% [* ?-- row decoder+ _7 Q  u4 q) f. Y; b9 l+ x( y
component mem_rowdec
9 x$ Y! j8 A& M/ ^   PORT(
- k1 P% C5 d5 ]% r& S      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );( l+ l6 H  M0 o- Z6 k- J% {: f
      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )- W4 `( @- x6 f
   );* h5 w! z) W( \9 T2 M3 c  T7 v2 N. p' w
end component;   
( X" e, `0 J/ P" _* m; x2 F: Q) O- v-- latch array   # e$ p/ g7 @( B+ N& O5 t
component latch_cell" N' F1 P- ^1 k- c8 G
     PORT(
7 b( t3 `. L+ h5 j" @        clr_l    : IN     std_logic;" E/ p+ ?2 c# Z: G, F
        col_sel  : IN     std_logic;
' E9 ?- W7 q" Z8 ^7 @/ l        row_sel  : IN     std_logic;        
. J" {6 U( M8 r( W        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );( y2 r) ^" L' c* H; v  _. p
        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )% L  A: ^0 A! D' `
     );
6 g; ~: S6 A* d; c' |end component;    / I- d# b. U1 w7 j1 |

% W5 v) f7 B, d, q7 C3 @( D6 ksignal smem_out : std_logic_vector ( 5 downto 0 );
0 c* }5 f( |. I- j/ `signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
; x. f. y  E6 `7 R" ?BEGIN+ u+ T& Q  O: a. C( z/ b
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
6 F8 p8 t+ e2 P# X1 u+ x  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);& i. ]; t! Z$ j6 X: B
  g0 : for i in 0 to 7 generate -- column generate1 t; D$ j' p+ J9 B7 I% l2 u# s
    g1 : for j in 0 to 7 generate -- row generate
) K; \- o1 |+ U, y$ X5 W; `& W/ {         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
7 d8 T  _7 I8 d. q  @" B    end generate;2 d$ a1 S  o0 T
  end generate;8 V5 q( V6 q9 `' s
END ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM
7 [7 v8 e1 |% ~' Q) T
5 m3 C4 Z! L) `1 d% c沒有什麼特別的啦^^...
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好" ~2 H" s& D- [( I. T
不曉得你為甚麼要捨近求遠
$ ~& z8 N" h8 a+ Y) P; w$ P# }! ^6 l可以說說這麼做的原因嗎?
, I3 D1 J6 w3 Z還有這是要做甚麼樣子的應用
" W% {% Y1 B# F: S9 B謝謝
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好
& n! e% X1 R; G, ]4 s7 K不用將address分成row跟column- ?( k6 x0 \8 D, I' f! A/ g  e& s
這樣只是將問題複雜化而已; k3 X& e0 W. Z8 E5 P" q  o
你可以想成有64個6 bit的暫存器,來組成你要的ram8 Z. A( U* u  z) L# Q7 U( ~* {
" A7 \/ M( U: b  a' f
用陣列寫法,code比較簡潔,建議使用, l) c* R# ~3 a& _, u
以下有範例,請參考
. t7 d7 {) `, l; K! c+ ^http://www.doulos.com/knowhow/vh ... s/simple_ram_model/
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-19 03:05 AM , Processed in 0.156000 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表