Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5251|回復: 11
打印 上一主題 下一主題

[問題求助] 請問個VGA的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-9-20 00:34:53 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
個位大大有看過華亨科技所提供的VGA的乒乓球專案嘛?
5 C2 l6 S5 ~' h; f' Q4 j  q小弟研究甚久,一直看不懂THS8134b所產生的水平垂直同步訊號怎麼來的,( V$ k! W( D, E9 k, o6 W
還有VGA的原理是如何呢?一直搞不懂如何掃描....程式真的看不太懂!!!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2007-9-20 10:04:10 | 只看該作者
雖然大大的問題我不一定會, 但是光看問題就覺得很大很籠統, 恐怕會的大大也很難回答/ P# @- S' z; e+ l
再用心一下審視問題, 將之從申論題轉成問答題,選擇題或甚至是非題, 你會發現, 你已經接近答案核心了
3#
 樓主| 發表於 2007-9-20 22:29:30 | 只看該作者
謝謝大大,我會好好研究仔細再發問該問題,不過想請問,我所要做的VGA是否類似TFT LCD呢?  \: X2 D( z0 w1 U# p
那請問如何得知這方面地詳細資料,因為我所找到的都滿籠統的!!關於這方面的的東西,該如何動手?
4#
發表於 2007-9-21 09:32:15 | 只看該作者
原帖由 nic740917 於 2007-9-20 10:29 PM 發表
5 q9 B$ E( x8 r4 [7 b5 c3 d2 W謝謝大大,我會好好研究仔細再發問該問題,不過想請問,我所要做的VGA是否類似TFT LCD呢?
- X! ^. ~* L! `) B7 ^% c那請問如何得知這方面地詳細資料,因為我所找到的都滿籠統的!!關於這方面的的東西,該如何動手?

' a# }. E4 ?8 p" |1 p9 V, f4 Z! P6 H0 ?( G7 r! e2 h& D
不錯, 至少你已經開始問是非題了, 有慧根
. X- h, e, s% [0 y( G你問題的答案是NO, 那是兩碼子事( w- L6 w. @! E8 ~* `! e
VGA是某一顯示介面標準, 他基本上有5類比信號: 紅, 藍, 綠, 水平同步, 垂直同步. A3 d* Y9 ?/ y/ M( k- ]
TFT LCD是某一顯示面板, 這顯示面板不一定使用某一顯示介面- I6 g4 Q+ \3 J+ s6 D/ V0 e
例如: 有的Apple LCD Monitor只有DVI
$ w( I) B/ b' t  \# W% C& f& R9 }8 r8 N
不過一般講師在講課前都會先了解學員的Background, 可以提供嗎?
0 Y3 F/ Z; S! k; n- G6 K; I/ o* J( Z
如果你要研究VGA, 先不管Display Device. 如果一時不知資料從何找起, 維基百科是不錯的選擇
5#
發表於 2007-9-21 19:20:25 | 只看該作者
有一個很簡單的範例
5 B) S& Q& X& x- n: @5 `http://www.fpga4fun.com/PongGame.html
* H: Y% a' g  H; I: u% q; e
2 f5 P% Z% X. x+ p1 Z8 ^/ N* Yopencores也有 VGA的範例; L- U7 f0 k& v

* |( y2 z  P( a# ]關鍵在於以下參數,一點都不難
; O' Y( c9 |8 l7 ^+ B8 T* e* x//Vertical display cycle  ,tv2 U6 C$ F# |9 R" Y  B
//Vertical pulse width    ,tvp
- P( ]2 |, s  Q# @5 {6 W6 y7 x//Vertical front porch    ,tvf
% t9 H$ A# g2 |* I5 C" _//Vertical display period ,tvd1 I! c4 i% T5 Y8 C
//Vertical back porch     ,tvb
; A4 k, A$ H  u//Horizontal display cycle  ,th
( `% Y/ Y# c2 L+ |  N5 X8 c1 o" u//Horizontal pulse width    ,thp
' S3 v% P5 b4 @3 y7 \! W. C//Horizontal front porch    ,thf1 g& t1 H% u0 B. c* x; w  E/ M4 _
//Horizontal display period ,thd
& U3 A  O5 ^) g//Horizontal back porch     ,thb
6#
 樓主| 發表於 2007-9-21 20:15:40 | 只看該作者
我的Background嗎?我目前是南台科大大四的學生,對於FPGA已有基本的概念,
+ D2 e: t0 x& Rtieyuhsun大大所提供的那個範例正是我要做的,而老師交待給我的任務,是要在上方加上磚塊,
1 h. U2 \# s, L, L9 j/ B# m球碰撞後即消失,雖然老師有提供這個CODE,但畢竟這不是自己所寫的,所以在想要摸透程式; M. F, W6 K; M0 L$ x& U
內容,總是會碰到許多障礙,因此目前的我,應該是要好好的了解其中的理論,再去改寫這程式嗎?
7#
發表於 2007-9-23 04:46:28 | 只看該作者
如果你只是要交作業,那不要花太多力氣改fpga4fun即可。. _( {- t* i# y3 Z* j! s7 g
我比較不負責任...用講的,- c- T0 o  D+ c" p* o. Q
主要是建立區塊,程式所構型的球、方塊、背景,都是用算的。; T! k, A! W; C5 J1 w5 _/ {
故,要改方塊,你必須要多一個算式做遮罩。
& _6 f$ w+ a: L7 b1 g: }9 ~8 u; F  e+ }
5 T7 s5 ?  y1 ]3 Z8 N: K
' k1 ^' F" K5 J3 J5 E8 }: F
我是不建議花太多時間,不過之前給你的參數google有寫,你也可以查以下網頁。5 Q4 A$ _) T9 G2 Y4 K5 y
http://www-mtl.mit.edu/Courses/6.111/labkit/vga.shtml
! i6 @) d& r9 q& _& I8 M9 j' p; h0 p即可以容易理解,不過理解之後,改寫還是一個問題。, ]+ Y) H, `/ o& r6 ~

4 E0 q7 E0 s/ t+ E& M: V我需要對您提出通常設計這部分欠缺,: H) Z1 j9 n" f$ o# O+ }% z* q# z
主要是系統結構的建立,你會發現理解之後...你還是一籌莫展。

評分

參與人數 1Chipcoin +5 收起 理由
tommywgt + 5 感謝經驗分享!

查看全部評分

8#
 樓主| 發表於 2007-10-2 00:55:29 | 只看該作者
了解..想順便請問一下...我現在再修改光碟中的範例,
3 m- N; v( i4 u8 \: h1 E可是我把程式修改了,可是燒進去的時候,所呈現的東西跟原本的一樣,; g4 n* O0 ]" I+ S6 R
所以我把 .sof檔砍掉,重新RUN一下,卻沒有出現.sof檔,/ V, N6 J( K' B# t! R
因此我想請問,我該怎麼才能再產生一個我修改過的.sof檔呢?謝謝!!!
9#
發表於 2007-10-6 22:47:43 | 只看該作者
我幾次的經驗告訴我, 沒成功的話都是complier有問題, 你有仔細檢查過quartus的訊息嗎?
10#
 樓主| 發表於 2007-10-8 17:56:50 | 只看該作者
我不太懂那些訊息的意思!!我挑幾個重點給大大看一看!!!!
& O6 W1 k: P& @3 EWarning: Can't generate programming files because you are currently using the
' ^* C% U, e' q5 Z+ G4 o  X                  Quartus II  software in Evaluation Mode
+ I1 S4 v. P2 k! V5 r3 N; o# c% \5 P/ S" `4 s2 L, H
Warning: Found pins functioning as undefined clocks and/or memory enables  \9 f0 [( m- C+ V5 B( z( h
        Info: Assuming node "pld_clk" is an undefined clock
5 b! O; b- U+ u! ?5 D/ U. l3 ^0 D4 \* ~  W& G
Warning: Circuit may not operate. Detected 24 non-operational path(s) clocked
( o) K* c+ z: x                  by   clock "pld_clk" with clock skew larger than data delay.: k6 i+ @, ~! x* j- E' Z
                  See Compilation Report for details.
9 j( J2 o+ d6 d0 T& T
, h$ z9 p: \8 m5 P不知道是不是第一個警告的原因!!
11#
 樓主| 發表於 2007-10-9 02:17:09 | 只看該作者
感謝大大....還好你有提醒我看quartus的訊息,,,原來是License過期了,,跟新之後就OK了ㄝ!!!!
( J& G+ d7 @6 {' R是這樣嗎!!!呵呵~~~~
12#
發表於 2007-10-16 09:24:36 | 只看該作者
嗯...恭喜你了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-22 06:23 PM , Processed in 0.179010 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表