Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 27780|回復: 13
打印 上一主題 下一主題

[問題求助] 關於Verilog寫法如何寫一個buffer

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-8-18 15:31:25 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
抱歉..我剛學verilog..5 y4 |( N" @1 ~
請問在寫behavioral model時,一個buffer的功能可以用latch的方式來寫嗎?
" b2 P* c4 F, \) c7 Y4 r3 z: D& L1 G" e/ W  N; Q
[ 本帖最後由 celadon 於 2008-8-18 03:36 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂9 踩 分享分享
2#
發表於 2008-8-19 11:41:46 | 只看該作者
如果不是latch base的design不要用latch,你要的答案可能為:
( S2 d% s6 [: a8 {# a4 p7 [3 |+ tmodule buffer(/ \; Y2 J6 U; d6 P
input I,
! v. m6 Z( V% M8 y' m6 t$ u1 d, eoutput O
( S9 j; k9 Q, X);& L5 w/ N- X2 V3 }
  assign O = I;
. t" ~. r$ h4 N" P) m; G# {endmodule
3#
發表於 2008-10-7 13:03:57 | 只看該作者
二樓說的很對,樓主還是好好學學基礎知識吧。這個很簡單的~~~~
4#
發表於 2008-10-21 11:11:28 | 只看該作者
再加個 #(delay), 會比較真實點, 或者是直接CALL vendor所提供的BUFFER LIB.
5#
發表於 2008-12-1 10:54:15 | 只看該作者
讓他反向再反向 0→1→0
. F; a' C( [' `# A2 f4 M( u4 U: E1 b" R8 Z4 o7 L3 I
2樓大哥說的也行.................
6#
發表於 2008-12-14 23:15:55 | 只看該作者
' o, Q2 |7 \% I' S0 f. K
這個很簡單
' `& D+ i# Q: }書上都有~~也有一堆資料~~~多多學習&&
7#
發表於 2008-12-16 11:35:43 | 只看該作者
真的使用BUFFER的話,2樓大大那各就是 4樓大大還可以實現合成之後的延遲$ K) H. E+ X. B1 G$ X- C( o* ~
這樣可以再合成後看到一各
1 E2 s! f+ e# \! `4 n不然你寫成LATCH也形
3 w: u, b! [) G' I9 a1 K7 e7 Y/ F. K如果只是確認延遲狀態而加BUFFER
7 p7 n* d0 C% P" W( J, M0 {( l你乾脆加各延遲比較快 又不會增加design 的gate. L, Y7 \7 T+ g3 j% y0 q

6 H( s; k# _) I% S5 z[ 本帖最後由 kosenmagic 於 2008-12-16 11:37 AM 編輯 ]
8#
發表於 2008-12-19 09:07:34 | 只看該作者
Altera lib裡有一個buffer cell叫LCELL,可以拿來用,約Delay 2ns,看要Delay多少,一直串下去就好,可以試試哦^^

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
9#
發表於 2012-6-27 18:00:08 | 只看該作者
回復 8# jason_lin
  c: `+ H$ W. j9 i; p$ N- L# Y8 p$ B3 I% \3 Q0 P/ ^

" Q3 l! h  a: _7 E" Y" c    受教了~謝謝!!  @( `& D2 M; Q/ _
    大家經驗都好豐富~
10#
發表於 2012-12-3 13:33:42 | 只看該作者
感謝大大分享的資訊
% z9 H: _& }. W$ f; h. Y/ M% K
: a+ y) ?1 ?$ }+ {2 I) ~  f2 `3Q~~~~~~~~~~~~
11#
發表於 2015-7-1 17:20:08 | 只看該作者

$ D" J1 W  r3 p+ W+ H  A感謝大大分享的資訊
1 X4 H: t: I% L6 v$ J9 T1 `% u5 j" [+ [* L+ n) X
3Q~~~~~~~~~~~~
12#
發表於 2015-12-10 16:13:39 | 只看該作者
如果要做串接的話需要將電路KEEP住喔!
0 ?% J) i# P& l不然板子會自動將電路做優化~
3 r. B2 b# i' e+ `* [2 ]串再多都沒用!
13#
發表於 2021-7-30 08:18:50 | 只看該作者
如果是純verilog code設計 就加delay
; ~4 _* R' L6 R如果是後面合成 cbdk有delay cell可用
14#
發表於 2022-3-8 09:51:21 | 只看該作者
感謝大家的分享
) n/ l# T! q6 d- [% E剛好也想找解法
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 01:06 PM , Processed in 0.132016 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表