|
Single end--->單端輸入(從P端輸入)
9 g2 D* M* |3 Q% uDifferential--->差動輸入(LVDS,,等)
- C& h# P. ]' R8 Q1 b3 |4 b如果CLOCK頻率不是很高,可採單端輸入GCLK pin,再從內部去除出所要的CLOCK頻率.
{+ x% B5 l7 j. l8 A* r( p1 e3 E+ @& i/ m* h
若要用DCM,從Xilinx Architecture Wizard(在ISE Accessories--->Architecture Wizard)去自動產生所要的CLOCK頻率.Wizard 會產生 .vhd,.xaw,.ucf檔.把.xaw加入design.(利用ISE add source)以下是以單一個DCM instance作例子.
7 g! u4 T# E( Z8 \' l: T( G7 j W1 @. Y% ?
EX: (輸入75MHz--->>輸出50MHz)% R! a" t" }% e& ~: u( {) E
entity ClockManageris- ?9 g7 g6 G: ]) x- T, o
Port ( clk_50mhz : in std_logic;
; |" J$ o2 Z6 Q, sclk_75mhz : out std_logic;
- r8 I$ j- o- X. v J- x& Y/ U( _# kclk_75mhz_180 : out std_logic);3 }' @( U. @+ y" F6 d# k
end ClockManager;3 x& Y3 F t+ ]
architecture Behavioral of ClockManageris$ h& G. |& d& M0 E
component clkgen_75mhz# O6 ]8 j# i+ m% r* K
port ( CLKIN_IN : in std_logic;* @( r0 h) Q. O5 [( |' h
RST_IN : in std_logic;
7 h3 F7 e5 L1 u' tCLKFX_OUT : out std_logic;7 w1 M' {+ [8 U
CLKFX180_OUT : out std_logic;
, A9 c4 S7 [% [) N1 iCLKIN_IBUFG_OUT : out std_logic;# G' z+ k# d" o3 v! r1 c
LOCKED_OUT : out std_logic);; c5 w# W6 D) a% Y M ]( ^
end component;1 R8 S* i+ @$ V. y4 m
begin
8 A) B* p- f1 u3 D1 v! K' `gen_75mhz: clkgen_75mhz
- Y9 D& f+ f& K4 H1 V; Tport map( CLKIN_IN => clk_50mhz,
2 p+ y& C2 J& k3 }9 x" c5 S% [% _RST_IN => '0',
7 }+ ^( _. a0 A. \ CCLKFX_OUT => clk_75mhz,) r% e" w6 R; e6 I. o9 |
CLKFX180_OUT => clk_75mhz_180,' I% Y6 e9 ]( M
CLKIN_IBUFG_OUT => open,
3 x3 ?9 _3 u" Y/ l9 P6 m6 S) W" nLOCKED_OUT => open );
$ W0 s0 U R! Z$ O4 nend Behavioral; |
|