Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3406|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:  r, {, u0 r7 x) R+ k( S! R/ j
我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!1 ?' j3 w: T% b9 W' A1 G
1 X8 {" F* ]5 F4 o( B0 @7 ^
LIBRARY ieee;
3 h: E* q3 \7 Z1 x/ sUSE ieee.std_logic_1164.all;% q+ D- j% w, U0 r! j
USE ieee.std_logic_arith.all;' U8 `' X6 z. ^

8 V  x+ K& q4 V7 Z1 d4 LENTITY memory_64 IS8 W! s7 ~* j1 ]. ?3 i
   PORT(
4 g/ y4 V# u' }2 o. j) t3 X2 E! L      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
% ?% Q6 I" p% I+ W      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );
- C- |, W  `  C$ ?% M      clr_l    : IN     std_logic;: z0 n; X7 j+ o$ x  r
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )' u. m: d. u. t9 w
   );7 W* _. a1 Q3 U- B# E6 k# }

& z2 i, a) q' o  S-- Declarations
: Y$ A* a: Z$ p% _8 ~  n' |" b$ Z' U2 q% e. G
END memory_64 ;8 V) @' V: x( |  B% y

% T+ N0 Y5 E; r( m--
5 Q8 Q4 c3 O9 _$ ~* k+ e3 N7 oARCHITECTURE arch OF memory_64 IS! |3 Y7 k; g7 v3 P
-- column decoder& a* \, V  Y' T9 i; Y
component mem_coldec, S6 e# K3 w$ M+ H; W
   PORT(
4 Z  [5 @( v% W8 Q      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
4 K* y, ~: D: J; U      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
& G2 {2 {4 t) ]/ U; ?   );
7 f# I2 a+ U1 Y6 E: B7 |( Eend component;( c- s% X. c: k* E: ^4 }: R
-- row decoder
4 Q( ]/ H+ \+ i7 T& m/ E  I3 scomponent mem_rowdec6 w3 z& M- ]0 D: y% D
   PORT( % b+ `: k! U/ b- ^
      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );4 X. {& E% M; _0 i. h+ G) R0 z, ]
      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
; f, p* P) Q- G) m  V4 o  E6 {   );
1 X, Y& d9 V7 z) B% Mend component;   % G  o) q$ Z" e8 K5 g1 V
-- latch array   9 g. j! e$ i, r1 R- v6 [. p3 Q
component latch_cell
: X0 e" C: `' E& u2 B2 w# r     PORT(
1 W1 {1 b/ m* N3 }. N' X        clr_l    : IN     std_logic;
1 D6 u2 t) o! c9 \' p$ e8 K0 E        col_sel  : IN     std_logic;7 M. H! x6 Y5 ^9 }0 x2 ^$ i: C
        row_sel  : IN     std_logic;        
! \* I9 t- |# l2 p* w        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );& d4 e/ Y; j6 k5 C( h% y2 I
        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )6 a' N; N3 X% j
     );0 V) \; k; }" P+ g8 _. m! |) W
end component;   
& T7 }% V+ Y" z' ?  @5 z
1 Q- S0 z1 V% [0 }, V. esignal smem_out : std_logic_vector ( 5 downto 0 );9 {) c: Y" X+ o2 F8 r, W0 G
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );0 [! [' U/ @. b- w! t& ~! P2 T
BEGIN
$ P; C+ \5 ?# p  ?  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);" d, H- |% U# X& {. p7 j$ g. W
  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);
; s* F$ G! C1 \& P5 J  g0 : for i in 0 to 7 generate -- column generate6 P4 b7 w- j4 P7 X. \: `0 c
    g1 : for j in 0 to 7 generate -- row generate7 |, M2 y! M5 t1 h3 A; a
         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);
% @7 h$ z% a3 e$ W    end generate;
- O% o) L, v6 ~  end generate;; ]& a( z0 n' A4 A# i0 s. l
END ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好
' g0 O! {% T  y9 R% D1 h2 `( K' b+ ]不用將address分成row跟column9 N7 N$ q" C' v  g
這樣只是將問題複雜化而已
* K* M( H" C& E3 v你可以想成有64個6 bit的暫存器,來組成你要的ram
( P& P: I. d+ k+ K" {: z
' \# |# y+ ?& J3 i4 C: }用陣列寫法,code比較簡潔,建議使用
7 W' `9 `) ^4 N( _' X, b" C以下有範例,請參考
. v2 k$ W9 ~) M3 C$ hhttp://www.doulos.com/knowhow/vh ... s/simple_ram_model/
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好
# V% g4 S( r5 b! {: u! `- u: O不曉得你為甚麼要捨近求遠
6 y  o$ {5 i* O" m0 u8 B可以說說這麼做的原因嗎?
9 W8 r6 d, }, j9 k/ X! A: q) Z% N還有這是要做甚麼樣子的應用
$ T8 M! D! {6 d" D6 E$ ~" o謝謝
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM$ m, [4 g0 m) r" P& Z' [8 E1 x* d0 A

/ c! s& ~# e" o: n2 ^沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-19 08:21 AM , Processed in 0.156000 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表