Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3359|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:+ ~7 D7 e7 N$ a5 x" I) ?$ y
我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
( Z$ V& R7 k7 F( [) n3 X; }* q+ c. U" k
LIBRARY ieee;
* A( t$ H5 z6 W; sUSE ieee.std_logic_1164.all;
. Q! V0 }# l2 v' p: f  f  W- uUSE ieee.std_logic_arith.all;
) J6 j) O: B( R: f; x) M9 P9 S2 `* x! X: N3 S
ENTITY memory_64 IS; h, E$ C9 X9 k, M
   PORT(
' H! z( [- n- m( F* r      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );
& T& z" c" L7 X6 N  y      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );$ w( H- w2 N. O7 k* f2 p2 F
      clr_l    : IN     std_logic;
* Y& {/ p- u. c+ G      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )0 U, d2 l  @; {: G  x
   );  V6 b: F0 l' y% b
) G, F& R9 n2 |1 c% @
-- Declarations
) }5 j" w% \! _5 K: V; d! `' \9 X  D/ @5 t9 l  N8 |/ n
END memory_64 ;
( D1 Z: e# K. a7 F1 l6 {7 Y% Z3 v4 b/ i- E$ b: o; L
--0 Q2 ~1 I! h# j- ?8 ^
ARCHITECTURE arch OF memory_64 IS
, p4 g  Y0 |% a# l- j8 t4 @-- column decoder
( o! `1 m8 T8 e* \5 kcomponent mem_coldec
$ l4 d% q" W/ x& z   PORT(
: [" O; K* Z: ]      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
( E) Y9 P4 j8 B; b      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )9 r$ g6 n: L+ }8 x; r' L
   );
1 i  L( o1 Q; n: f0 l0 P' [end component;
- \& W0 Y! m' ?! x( s; A. w-- row decoder
0 f+ ?) u0 Q) k' Zcomponent mem_rowdec0 i( O  J( J$ n$ L% P
   PORT( - q" g% x$ X, }9 X/ C
      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
* E$ c- O! R3 o      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )6 }; O5 A8 {9 z8 I6 Z
   );
: }) p- E8 f6 D( Vend component;   
$ U( ]7 C. E2 |-- latch array   * w" w/ ~# q7 R2 J$ S
component latch_cell
8 v$ s& i) N, d; E1 h6 P     PORT(
/ b1 z4 O7 U+ p$ G; _- A- d) R        clr_l    : IN     std_logic;2 c6 t0 D0 l6 k2 }3 H
        col_sel  : IN     std_logic;
% [2 |6 r" N# F0 `- ~        row_sel  : IN     std_logic;          w. j$ j4 P' k& z& a& e) x
        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );' _& `$ Y3 i2 I! ?! Z* U
        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )% j( ?0 ]  y# D2 i6 x  f+ o$ \
     );, e1 p+ c+ J; j
end component;   
* K; k0 E0 A3 a; W! u. J% O7 V  W/ _% H
signal smem_out : std_logic_vector ( 5 downto 0 );
3 ]3 N' f/ }' }# @3 Ysignal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );8 V3 J5 K4 R' q3 [) m
BEGIN
4 v5 c0 i% f. B4 n1 t  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
  C0 p# G1 ^: N" ]" s* u% Z  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);3 n# \! j& d- k; @. ]; X0 E
  g0 : for i in 0 to 7 generate -- column generate
5 t8 ?( W; S# i; A" B+ }    g1 : for j in 0 to 7 generate -- row generate+ K! `, m7 b! {3 E# e
         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);2 t7 B! e1 Y6 z' z0 X
    end generate;( R3 U8 ~: D2 B
  end generate;
, ?0 \, w2 z3 d8 \3 m. DEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好
. c3 [3 P, Z) s  V" h不用將address分成row跟column
/ l. A# ~" t1 `  w這樣只是將問題複雜化而已
) l0 [( @5 ~3 y' ~& H0 c* {你可以想成有64個6 bit的暫存器,來組成你要的ram
9 W/ z2 U" |4 ~. M5 _- m- S% \2 p. d4 R9 i
用陣列寫法,code比較簡潔,建議使用0 H7 U( h) T' C+ h+ C
以下有範例,請參考+ b1 [$ E1 z. m! R% {
http://www.doulos.com/knowhow/vh ... s/simple_ram_model/
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好
3 B2 j; J9 r! g5 }( {不曉得你為甚麼要捨近求遠
- m# F( Y$ H6 u可以說說這麼做的原因嗎?
! R2 z. X, n: G# m  v1 d還有這是要做甚麼樣子的應用4 r2 {. j% K* L. Y# u! \! r
謝謝
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM- z2 x7 F+ i& ^' f* L! D5 D5 E! n

; G7 X6 W/ v) n沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-11-1 02:25 PM , Processed in 0.152008 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表