Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4758|回復: 11
打印 上一主題 下一主題

[問題求助] Altera Cyclone II (EP2C35F672C6)燒錄

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-6 11:03:56 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
剛拿到這塊kit,寫了一個測試sw跟led0 t1 u0 v0 l# t0 O& U
//==================================================//
" @5 y, o6 k8 {* F; Y`timescale 1 ns/1 ns
& h% @9 i7 l3 K% \" ~8 u
- w7 L0 S5 J6 v  B- A  module  test_001(- @6 U+ t+ e( ^% F- [/ v/ {
                   D,: I) X9 @4 e" A% N
                   Q,
! O& R9 Q0 K, g2 f                   clk,
0 i2 l5 L' R! I& q                   reset,5 [/ z+ _- u/ H  y' q' a
                   QB7 ~% W9 J6 o0 X+ x" v: z
                   );
; j! R9 x: t0 j' ]% c: @input   reset, clk;3 O: J! {5 L* e; J; k0 G
input   [3:0] D;1 Q4 T9 d, h' J
output  [7:0] Q;
) w3 G) L% e% W* koutput  [7:0] QB;
4 Z+ v1 Z2 w  n3 n2 x- U+ Q. ywire    [7:0] Q;
, a9 ^( K5 |  i- ^7 o# O! j/ C6 Twire    [7:0] QB;
3 y4 ~# [! K% w( greg     [7:0] X;
0 O7 ^8 o" {/ C- A% q# R/ ?0 ]reg     [7:0] a;
3 T) Y/ a; X% Y# b* r% B  p0 F4 r& Z

% t7 Q8 x( m" `$ O
: ?  ~8 G/ b: q1 v! @! S5 O. A( k4 n8 l- [
always@(D)
8 O: d# p! i  W* _" G. A  begin4 f- O5 _1 N- Z/ h2 R3 ~) C
         case(D)
. l/ h+ i5 d* x; @! }# f  C- P# k             4'b0000   :  X = 8'b0000_0000;* b9 x1 B4 [' {; D$ @4 K8 e
             4'b0001   :  X = 8'b0000_0011;! D  S" k& x9 Y) p  n( \, O* T
             4'b0010   :  X = 8'b0000_1100;
& B7 J+ f7 p2 [5 T6 M             4'b0100   :  X = 8'b0011_0000;! v" b8 h9 v/ q+ F; }! X* ?2 S3 u. k
             4'b1000   :  X = 8'b1100_0000;( Z1 r  w' `) ~+ x3 r7 Y0 A
             default   :  X = 8'b1100_0011;
6 B9 g- T/ W! v! S, a$ g4 v3 T         endcase   
% b  o# D( G$ n. U  end            
0 T& H9 T& i7 Q5 ?  
: p: F( b: `/ [8 E. n2 fassign  Q =   a;
$ C. T# P4 F6 O8 U+ Passign  QB = ~a;% o4 h: [6 ?# ]; o. W# t" y9 {# @5 @2 u
            
! g  Q* l/ j( F* r, yalways@(posedge clk or negedge reset)
, n2 C6 O% \0 ?, F4 b' P  begin7 z" a6 M+ V; k/ Y
     if(!reset)
% {9 F8 l# I: Z/ w! v          a = #1 1'b0;- V1 A& v( k/ ]" V
     else5 c3 S# |/ I. d9 r& u0 y3 {
          a = #1 X;
$ V! S! a  e5 D& y& }8 o, q+ T& v1 p  end                                 
. s8 M9 h3 @$ l1 i! I   
' j7 _8 ~3 w! r: t  endmodule
/ H# J4 z! I( a; K1 I( B& E" T//===========================================================//
% e" A, d! ?* v3 T然後以下是Quartus產生的qsf檔。
/ ?- ?7 Q( n; e# |: E# v: P: Q//===========================================================//0 s$ w) X; U, U; o$ D8 T
# Copyright (C) 1991-2006 Altera Corporation
& C( C) ]. b. f: y0 }! h# Your use of Altera Corporation's design tools, logic functions & ]9 w8 }$ s% B& P  t5 Z3 c& T
# and other software and tools, and its AMPP partner logic
& B! D. w0 S* W5 V$ h# functions, and any output files any of the foregoing " N' X4 ~. s6 i2 ^$ c7 h1 {% |
# (including device programming or simulation files), and any
& Q/ h) \2 O0 c$ `# associated documentation or information are expressly subject
/ C7 |) ^7 c* C2 Y+ ?6 [# to the terms and conditions of the Altera Program License
& Q; t5 X4 P1 |+ [" w# Subscription Agreement, Altera MegaCore Function License : I' P" p, }& Q$ t  B
# Agreement, or other applicable license agreement, including, 9 ^! a. n2 n; z; ^: v
# without limitation, that your use is for the sole purpose of % E# i  A( S- @! v4 s
# programming logic devices manufactured by Altera and sold by
6 [& j  H' X& K" L, H* e: r# Altera or its authorized distributors.  Please refer to the
$ ]5 @6 Y) h) y2 u2 ^4 ?/ T7 o7 f# applicable agreement for further details./ _3 [. M4 p' x5 u
8 T1 O7 }) W7 U5 _) N

( C3 A" K1 l7 P2 ?7 O# The default values for assignments are stored in the file3 l; v1 h4 f5 i
#                test_001_assignment_defaults.qdf
6 f3 U, D2 z# l# If this file doesn't exist, and for assignments not listed, see file* z9 l, c' l* z' T$ y' r
#                assignment_defaults.qdf9 L9 ?: @9 C; m* J4 G

. t6 D' J5 q5 r) l# Altera recommends that you do not modify this file. This4 k! r4 D; S; e
# file is updated automatically by the Quartus II software
& ~1 {; ^' |- O% `$ J4 [% M$ g# and any changes you make may be lost or overwritten.7 v+ T5 F/ W, r% J
4 S1 i/ Q, Z" u; W5 w
9 a7 {& n! @0 @; s
set_global_assignment -name FAMILY "Cyclone II"; c! [5 k$ j/ c
set_global_assignment -name DEVICE EP2C35F672C6
6 k5 {, Q9 m& u7 K2 R* j/ P. wset_global_assignment -name TOP_LEVEL_ENTITY test_001/ Y, n" `7 `% S7 E
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0' a8 W1 @: U7 }$ m% i  v5 l
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:57:03  MARCH 06, 2008"8 H% p4 l- j6 h# g; U' N4 {
set_global_assignment -name LAST_QUARTUS_VERSION 6.04 Y. P  F7 a" d) u" g3 I
set_global_assignment -name USER_LIBRARIES "D:\\Altera II\\970305\\test\\1/"9 O$ c# V5 B8 Z2 Z/ b$ F/ g3 K
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
1 P* j! G/ e/ Gset_global_assignment -name VERILOG_FILE old_test_001.v7 ^9 e4 b' b$ C& Z1 i) x6 m, e
set_location_assignment PIN_Y11 -to D[0]8 c/ l' u2 ~( t* C
set_location_assignment PIN_AA10 -to D[1]# l% v5 u  ~/ m2 T# j9 P% ^
set_location_assignment PIN_AB10 -to D[2]
' M% b+ G; o) Gset_location_assignment PIN_AE6 -to D[3]% H/ ]4 x) ^" V# {; z5 C1 J
set_location_assignment PIN_AC10 -to Q[0]  ?$ a: R0 G+ y- S) F5 y- u4 X& ~
set_location_assignment PIN_W11 -to Q[1]+ E* |" ~0 B; f! Y
set_location_assignment PIN_W12 -to Q[2]9 c9 q/ o1 ?* p6 O1 r
set_location_assignment PIN_AE8 -to Q[3]! p4 ~* L5 q1 e; {. }/ b1 s
set_location_assignment PIN_AF8 -to Q[4]: M/ u6 @, b/ R6 E% H- ?
set_location_assignment PIN_AE7 -to Q[5]7 \& E, c$ N2 q- ?
set_location_assignment PIN_AF7 -to Q[6]
* N: Q1 U, Z8 a3 k0 V3 K$ Lset_location_assignment PIN_AA11 -to Q[7]/ `/ S0 L( a4 e' Y9 k
set_global_assignment -name SIGNALTAP_FILE stp1.stp/ u3 U1 |' F/ e' E/ x
set_global_assignment -name ENABLE_SIGNALTAP ON$ |; u' \  U$ W" Q2 o. J7 m: i/ w
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
- I: p: @( P1 B- d9 d, K( q6 ?set_location_assignment PIN_M21 -to reset5 X4 [: B/ U# f% p" y
set_location_assignment PIN_P25 -to clk) P, W1 l* B' s6 q5 @  Z' V$ @9 D1 I
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler"! m& A4 k: S# q7 z8 ?& q3 Z; P3 M
set_global_assignment -name EDA_INPUT_VCC_NAME VDD -section_id eda_design_synthesis4 {# `: [! T9 q) i/ k" y0 d7 y
set_global_assignment -name EDA_LMF_FILE altsyn.lmf -section_id eda_design_synthesis5 ]) Z$ Y7 o6 W/ j# [8 {
set_global_assignment -name EDA_INPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_design_synthesis8 F  @8 K6 u9 a
//=================================================================================================//: ^- e! z8 y) l& T; W9 z
我的問題是,不知道為何怎麼樣都燒不進kit裡,' _4 ]& y5 O# s8 j% ~
已經排除並非JTAG跟KIT的問題!
$ J2 s7 M3 G  p- F) f" T請各位先進一起來分析一下!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-6 11:10:08 | 只看該作者
把programmer的錯誤訊息post出來看看, 光看qsf, 無法知道program的問題....
3#
 樓主| 發表於 2008-3-6 11:13:59 | 只看該作者
1 |+ N& w5 V  ?
只有WARNING5 B- z: |+ g4 a1 j
沒有ERROR
4 [' O1 ]8 d( C8 t這就是我感到奇怪的地方
4#
發表於 2008-3-6 12:02:28 | 只看該作者
所以是有成功??
& M+ @6 d, \; ~" p" x0 D" V不然把program的畫面抓下來看看..
5#
 樓主| 發表於 2008-3-6 13:31:45 | 只看該作者

! A" u( b% M/ S/ ^  {4 H+ l4 V4 {& N% _' M
這是program的畫面* }; F1 C2 P! {/ Y4 b

0 d5 t# N, z0 ?3 l% M7 U3 b3 K% e. h- W% a# u6 {4 u! |

- `4 O5 z: n: u/ q這是assignment pin的畫面- z3 E/ }4 q) }" j

4 ^- n! O" G# X/ n6 k3 V) A6 L, x( W, c, N, C4 q8 p
* x2 z, F" ~: ?- E
這是燒錄下載到kit的畫面
5 c5 o" x: W/ V: ~4 p1 O7 k$ P) X5 X9 |( Q' F
####################################################1 \( D. a8 P$ m0 G
到這都很順利,$ Z! b% f0 I0 H$ ?
但是~kit就是不動作!!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2008-3-6 14:05:19 | 只看該作者
檢查看看clock pin 是不是assign錯?
2 F& J* l9 S4 R% Y: r導致電路不動...
7#
 樓主| 發表於 2008-3-6 14:11:37 | 只看該作者
確定沒有!我對著這塊kit的手冊在assign的
8#
發表於 2008-3-6 16:12:16 | 只看該作者
你那是要做 signaltap 的lab  吧3 Z4 R6 ~6 m8 ^1 X8 u/ ]4 h2 u8 r2 q
& g0 L. Z" d. D( V4 \7 p
看看文件中的設定有沒有遺漏的部份
9#
 樓主| 發表於 2008-3-6 16:54:09 | 只看該作者
在我關閉project的時候,會出現以下3個畫面,是否我的signal沒設定好才會使KIT無法動作!6 A2 Q, X$ @# m; R- R+ G% i$ C3 |

6 f# ?7 d' X9 T( E5 ]# j/ o: m4 R, o* `
3 c: D  p, T4 Y; a
& W6 T7 |! _& {' \- U" y

+ f5 a3 M& H. m+ c! d
# ^0 o# B' {5 }2 y+ M* {0 j* ]# @8 Z* W# N; F# `

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
10#
發表於 2008-3-7 09:41:44 | 只看該作者
雖然我夠菜了, 但似乎幫的上一點, 所以我就講一點, 還不懂的feature不要亂開啟9 W! w/ F7 _) C( x' N! y: `
上面signaltap2跟in-system memory content editor不要亂開,
* I& o/ ?" y: N9 ^) K) \' R特別是signaltap2開了又沒作正確設定, 就會出現最後面關project時的三個畫面9 c, Y4 k6 t: q* ]" W
發展板的manual要K完, 有沒特別的jumper要設mode?3 Z" T7 S% N+ A3 A
另外, 下載後, 發展板上config_DONE的燈有沒有亮? 有亮的話就是有正確下載,
2 }! V' B+ w. Q那就是你的設計的問題,
5 N" g4 \" C3 E3 @這電路你期待會在板子上看到什麼動作? 是否你忘了要用手去扳動對應的D的switch提供輸入? 合成過的電路有沒利用RTL view看看電路是否是你要的?
11#
 樓主| 發表於 2008-3-7 11:52:24 | 只看該作者

; l/ G7 n3 G; v! J感謝各位的意見~5 s$ t4 V. K, p, N$ |
同樣的描述~! p- o4 l0 w5 ~7 O  c. n1 I! R% Q! n
我放到altera另外一塊kit→EP2C20F484C8(茂倫)
' ?% E, s7 l# A所得出的結果就是我要的~$ y9 o" @2 s6 R$ T, J& n
差別只在PIN的ASSIGN
  p# _3 g0 X$ ?! Z2 M這樣子可能會認為KIT有問題~2 P' K2 f8 x9 U5 q
所以~# a) q$ k5 z3 Q/ O: O" d2 I8 g  P
我又重新寫了一個
: \9 t; H6 f$ g: i7 |放到altera EP2C35F672C6這塊KIT~
5 r' a- w7 [, Z( v+ v
1 S- C( F% A  p9 ~7 N% m居然可以動作了~
$ z8 p0 {$ k4 R& [/ J  U1 t以下就是這段硬體的VERILOG HDL
6 ^+ s+ j7 Z5 r) ?`timescale 1 ns/1 ns; U9 m3 T, x' [6 P7 a) _
module chip_top (
; {9 H3 `. A9 N# \/ S                  clk,
' e' O) b0 @2 Y# y                  rst_b,
0 M0 v% S) L# K( B/ E0 B                  cnt,$ a9 D4 I& s7 Z1 B9 H- k- n9 s
                  seg,  
: l* p, u7 \: U  N$ M: c- S# _                  a,& e; H8 M6 M; g0 ^* {
                  sel,6 B6 f& }( I: y
                  seg_u9,    2 u' d' ?+ E$ `6 Z
                  rst,
! n3 ]7 y- C* {4 h  F                  clock,
! n( W) f6 m9 D( Y                 );) w& f2 \( C7 M# o& w# C
  
) y3 M( e" e+ R) \8 m/ y8 y/ k   . m) ~7 c; x7 r2 l( R
  input  clk;5 R7 z: S# G! C' ^7 C
  input  rst_b;4 G/ U% @+ C0 ^) l
  input  a, sel, rst, clock;' P2 |' |5 C9 w! v# p% C
  output [7:0] cnt;  x; i/ i1 M) X. v8 s
  output [7:0] seg;
; i! C+ G$ E4 F* B7 g  R) j  output [7:0] seg_u9;
1 h# N& X; b+ `, ?& n! o+ ]: k  reg    [7:0] cnt;% b, P- K3 w4 T/ B
  reg    [7:0] seg;" \- J' C  Z1 ^. ?# \2 D0 E
  reg    [7:0] seg_u9;
5 c2 N4 z4 j! D# \: }  reg    [40:0] clk_cnt;
7 Z4 D' p. S# D! @' I- u  reg    [40:0] clk_seg;
/ ^# ]. H2 L" |  reg    [40:0] clk_seg_u9;
) L& B0 f; m8 O1 r    wire   clk_cnt_end = clk_cnt[20];" K3 {3 o; x" p8 ^- E
   wire   clk_seg_end = clk_seg[20];
1 u+ y+ E. t9 O8 a% D* F. E  wire   clk_seg_u9_end = clk_seg_u9[20];
0 `* E. ?  j) s( z0 w* p  
+ y8 K4 W3 k* v  
& {- z. g) I1 o- q//---------------------------------------------------------------
) `7 n5 w" H9 Y  _2 t* p- ]  always @(posedge clk or negedge rst_b)$ p4 I2 m0 y. i4 G" D
    if (!rst_b)
: j; H$ @$ ~. p/ f! R              clk_cnt <= 0;
; w; A5 h" p" i7 ]7 ^5 W0 r% v    else  
2 M& }# s& y  I) d( z/ `6 r             clk_cnt <= clk_cnt + 1;
5 Z. ?4 u$ Q3 P
0 r; H, w- D5 N+ I- y  always @(posedge clk_cnt_end or negedge rst_b)" ^. Y' D7 q8 ^/ d3 ]8 D4 J* e
    if (!rst_b) : T- m0 W" [5 f$ G. P6 B
              cnt <= 0;9 X/ w" g4 k' M. W8 p# A& v
    else 3 C% t4 E; j$ q9 J4 x+ F
              cnt <= cnt + 1;( g( [7 J9 L; k
- x- y9 `# o, p5 R" ~: ^5 V, v. A; D
//---------------------------------------------------------------2 w# q& `. v6 v2 t

& m: E) |6 u! }* O
1 {4 E  b: y( n// always @(posedge clk or negedge rst_b): Z; l6 j, G  s: b# `
//   if (!rst_b)0 P2 K4 F5 u8 a& k2 R2 Y( D% g
//               clk_seg <= 0;4 `. D  x  L1 I( B  T
//   else ' N% M, ?; _  {
//               clk_seg <= clk_seg + 1;2 T# M. N5 a6 P; n$ @4 b: `

4 n0 K' @  q/ _3 M$ O: y8 H// always @(posedge clk_seg_end or negedge rst_b)
- E' E5 r! i0 _! Z$ j$ W% ^//   if (!rst_b)
% }' {4 i- v: B  ~) |//              seg <= 0;# \. j# N5 K. j' d8 [& ]
//   else5 E9 R7 P9 t! O! o
//              seg <= seg + 1;
- b* D/ e  H3 x1 E, U//---------------------------------------------------------------     4 Z0 W3 r# B0 Z  g, R" l
//===============================================================             \' V, ^( Q* K
//  always @(posedge clk or negedge rst_b)' f0 @' l8 @' L7 A6 l
//    if (!rst_b)
8 ~" M% M& |2 p/ D//                clk_seg_u9 <= 0;
2 P: [+ O$ p* ~4 G  M6 N' c& n" }//    else + |' }1 M; p; ~! d) C4 k
//                clk_seg_u9 <= clk_seg_u9 + 1;- C& K/ ~& z. R2 a

% \. r4 V  N) j" r//  always @(posedge clk_seg_u9_end or negedge rst_b)" T  r& H+ F  c
//    if (!rst_b) . n, V  U! e: I) N  Q: i/ {8 K
//                seg_u9 <= 0;5 F6 }' P5 N" K$ ~
//    else! ^& H& N8 x. @: l$ [* e0 e
//                seg_u9 <= seg_u9 + 1;            
6 q; r$ I, Q( V: m//===============================================================     + x$ n2 }$ ?- s
    always@(sel or a)9 c* Q8 k2 z% ]' F- Q8 u! t5 x
   begin5 V. a$ ^, e( _, q5 k4 V+ f; O
          if  (sel == 1'b1)* R/ a( A1 R: e0 w8 l) G8 @
               seg_u9 = 8'b0111_1001;              8 C5 D/ D9 m! K6 P; {0 E2 g$ ?
    end     
: U0 t" y: k6 R0 g  B     always@(sel or a)2 F: L3 g7 M$ Q( C4 \! @0 m
   begin4 X4 e8 I" C0 H) v
          if  (sel == 1'b1)2 J1 h1 J* I  y; j% K2 L
              seg = 8'b0010_0100;                o) J9 c5 {7 T
    end  ; R+ _$ X6 O1 R. D3 \
//===============================================================
( M3 q; |, J4 L2 b      
7 g$ ?8 a) W- L+ I3 \endmodule
12#
發表於 2008-3-11 12:01:56 | 只看該作者

社群真是個好地方

嗯, 一個問題丟出來後會有很多大大都幫忙, 社群真是個好地方
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-18 11:46 AM , Processed in 0.171600 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表