Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 9743|回復: 3
打印 上一主題 下一主題

[問題求助] 想詢問使用DC合成,為何硬體卻動不了

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-3-27 21:48:44 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最後由 lj0113 於 2011-3-27 10:07 PM 編輯 ) I1 ]& d/ Z( R3 F

2 U) v" j2 f4 e1 V' \2 W' T2 f$ v各位先輩:  E7 Y/ _5 p7 W0 Y! z+ w
4 d& f  A2 v" O0 V4 d
小子目前在處理一個硬體,合成後其輸出waveform經過reset,然後再幾個clock cycle  其輸出就開始呈現unknow狀態) ^. X8 }* Z' T. w! z! s/ A$ k) t
由於這個硬體不是我負責  我得負責把它合成出來....造成我不確定原因是否是rtl亦或是我DC constraints下的不夠好( [' g- U9 E$ N; S8 o8 F6 r
但我知道有人合成完後,電路是可以function work(不過我實在不太好意思  一直去打擾那人= =)) u0 j5 y; D1 S& R' a1 _( \

# j5 ^& B, z+ W4 ^  A以前我用類似這樣的constraint去合成比較小的電路都是ok的,目前這個電路真的比較大,所以我在想說百分之百一定是DC這邊constraint設定不好= =
3 J" N0 w  O/ T' z. T導致我合成出來的硬體造成輸出都是unknown3 _4 W& ]1 E! n) i
K了一些資料  但尚未發現一絲一毫哪裡有錯....
5 v2 {2 r2 x# t# p+ k我的constraints大致設定如下東西   使用的製程是TSMC 0.18um:
! X/ \" u& a. J' Y9 T/ X4 J. n7 `* l" z5 ?* E. d
建立clock. D$ a# P% B; t0 y6 H5 y
2 r1 w4 o8 B: g/ J( s" b
set_wire_load_model -name tsmc18_wl10 -library slow
4 h1 [% \; ?9 j; tset_driving_cell -library slow -lib_cell DFFX1 -pin {Q} [remove_from_collection [all_inputs] [get_ports clk]]% q7 w1 ~- l4 U
set_driving_cell -library slow -lib_cell BUFX4 -pin {Y} [get_ports clk]
4 U( T. X5 G5 ?+ m8 Y' tset_input_delay  [expr $clk_in_delay + $clk_in_pad_delay]                  -clock clk [remove_from_collection [all_inputs] [get_ports {clk}]]! b5 [8 p3 C7 a  o9 S' l
set_output_delay [expr $clk_out_delay + $clk_out_pad_delay]           -clock clk [all_outputs]1 L: W0 g1 y) W2 J8 u
set_load  [load_of "slow/DFFX2/D"]  [all_outputs]# c( N7 H/ c5 Y) ?2 P! O; r
[remove_from_collection [all_inputs] [get_ports {clk rst_n}]]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-7 00:42:55 | 只看該作者
可以查一下是timing 的問題,還是function的問題,
- _2 C4 k+ G0 @, R跑一下Sta 和 LEC 吧!
3#
發表於 2011-4-19 10:10:30 | 只看該作者
你的design有multi clock嗎?如果沒的話,我猜是你reset的constraint有問題,不然就直接看一下waveform就好囉,剛reset完就變unknown,還滿好追的吧?: d" Y- T8 D8 M8 j

0 K+ y* k* A! Q3 p" Z) Z既然有人合出來可以動,何不跟他要script來trace比較看看呢?不用一定要叫他解釋啊,你都會synthesis了,會看不懂他的script嗎?
4#
發表於 2011-7-22 08:24:02 | 只看該作者
先check reset是同步reset,還是非同步reset,同步的看一下是否有recovery/removal violation ,非同步就看你testbench產生reset的時機
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 04:56 AM , Processed in 0.117515 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表