|
Single end--->單端輸入(從P端輸入)5 l# c# c, J- r0 q0 K. U. d* z0 K
Differential--->差動輸入(LVDS,,等)3 }* |& ]% y$ C8 s l7 `
如果CLOCK頻率不是很高,可採單端輸入GCLK pin,再從內部去除出所要的CLOCK頻率.
! L' F$ k7 T" |
3 t* ~( F* n8 ?* B% B& w, ?& L$ X若要用DCM,從Xilinx Architecture Wizard(在ISE Accessories--->Architecture Wizard)去自動產生所要的CLOCK頻率.Wizard 會產生 .vhd,.xaw,.ucf檔.把.xaw加入design.(利用ISE add source)以下是以單一個DCM instance作例子.
; {1 U/ A7 D8 v' ]: _8 U, r
& Z: C/ T( }" e$ v- EEX: (輸入75MHz--->>輸出50MHz); J3 \' G5 P8 H% F& H: Z F8 j4 d
entity ClockManageris
, `8 ]! C% P% T& p* Y! mPort ( clk_50mhz : in std_logic;
( b, H! P$ t" a2 g3 H# `8 b, t& s. yclk_75mhz : out std_logic;
5 b6 @' x+ P' N1 s. g" bclk_75mhz_180 : out std_logic);. x0 C5 R1 M r. Q, }
end ClockManager;+ v5 F7 D9 K. u& |; `& z' ]! u1 B
architecture Behavioral of ClockManageris0 N' K+ L/ c7 X
component clkgen_75mhz) e3 @4 ~: F, x. F- P U) C C
port ( CLKIN_IN : in std_logic;
4 |& I3 E$ q5 T s z6 _RST_IN : in std_logic;* D+ C: i, s7 {3 X& _0 h/ o0 |! Q9 u& m
CLKFX_OUT : out std_logic;) n' t+ A3 }$ ]6 `& X& f
CLKFX180_OUT : out std_logic;
8 m: I/ {; L& a m0 Z& `CLKIN_IBUFG_OUT : out std_logic;
S- J- w. [! e; g o8 sLOCKED_OUT : out std_logic);7 d. f% o- e% q) i
end component;
5 ]+ W& Y; S6 @* v( _begin2 P7 h# |0 t/ _
gen_75mhz: clkgen_75mhz
+ U* s' g. C0 Q0 P1 W8 F2 Gport map( CLKIN_IN => clk_50mhz,+ w% k$ |: l" I3 o
RST_IN => '0',
9 k* i0 R2 u7 m& NCLKFX_OUT => clk_75mhz,' w5 a; p* E" h# z# M5 L0 X5 I8 {
CLKFX180_OUT => clk_75mhz_180,
5 j, [" _+ `- z0 j0 R1 P6 [CLKIN_IBUFG_OUT => open,
. C1 ^2 N8 ^, ?! n1 mLOCKED_OUT => open );
' u O2 s8 s- S1 J7 w. |7 `9 dend Behavioral; |
|