Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3402|回復: 4
打印 上一主題 下一主題

[問題求助] 記憶體問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-7 06:34:59 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位VHDL高手們,小弟寫了個6 X 64的記憶體,不過我試用實際的硬體電路來思考,並非使用軟體陣列的方式,如下:
. A) ^# C' x7 ~5 d/ n我設計了一個decoder for column address selection,一個decoder for row address selection,然後使用generate產生64個latch陣列,但是現在我不知道該如何指定我的腳位,懇請各位給點意見,謝謝!
" n8 H. R5 g6 y- a; Q  R6 q0 B5 e' X2 e5 i4 M4 o
LIBRARY ieee;( [9 W5 a1 S7 v+ n0 P
USE ieee.std_logic_1164.all;
6 V( q! r9 G0 e- F9 u0 v# e7 iUSE ieee.std_logic_arith.all;  M5 o6 v, a7 s( k

; s. t, l1 B3 u6 q: w# ZENTITY memory_64 IS
+ v! i3 Z* Z# X   PORT(
$ T9 ]6 M) i( H1 `5 `      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );' N: J( w: u* {( c6 H  L" `
      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );. Y7 ]/ C2 g% b4 p3 [- D' @8 l
      clr_l    : IN     std_logic;
6 ^" }$ l& |( B0 O      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )# s6 o* A2 I4 t) H" V
   );6 l* ^& {% t% A0 M2 y1 ^3 t

2 P5 N$ M- a  R3 N3 z* O-- Declarations$ L& X" U& @' X4 e: k

2 ]; y9 D- p2 kEND memory_64 ;
/ ]5 X  T5 Z( Z; f% B
5 R) _! o- T) K5 G--
4 E7 c4 w+ N2 u# q+ J* N3 K. UARCHITECTURE arch OF memory_64 IS' G, e! r' f- y$ `/ h
-- column decoder7 M7 ?. E3 L  Y# d. H, m
component mem_coldec( {" J- E& G( Y  Y3 C1 A
   PORT( 6 ?/ f$ m# J$ N+ m
      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );# t: ?; [: t! W+ T  H! ?% N( O& v2 [
      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 ). E/ F! N; ]- e" @5 {; ?
   );$ g$ E8 h! d$ z' X
end component;
* ?! c# d4 `8 s" T-- row decoder1 \2 s0 Y4 @& S& l
component mem_rowdec
1 Q# e" c& U# H3 Z, u$ b6 m3 P   PORT( $ [' }( x9 b" i
      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );" f" `. j! x  i6 w3 b% y
      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )
) `/ e2 H- P- G( K! |8 a- l   );% f0 v' Y& V; Q6 C
end component;   
5 X; K# q  N' F: s0 Y' f+ M& g-- latch array   : A  @2 B8 z8 I* z
component latch_cell
( ^' n, Y) }- U3 y     PORT(
; |0 v& g3 `- z; [# x, A5 H        clr_l    : IN     std_logic;
: P, z4 _: e' ^        col_sel  : IN     std_logic;, q/ m# n5 ?3 n2 T( A9 J, H3 j
        row_sel  : IN     std_logic;        
# C$ v3 H7 Y& T        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
; I3 `9 X: m1 E        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )1 V) A+ `2 h4 ^
     );: P: q& o' r- Y+ o8 G
end component;    ! @1 h5 G$ ~, @$ n' y5 X

( n4 g- e0 P/ w4 i$ U; usignal smem_out : std_logic_vector ( 5 downto 0 );1 D* o$ _! g& u7 V7 T& r
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
  ]- w* P; R- E/ _- dBEGIN. l+ j" ~; W; B
  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);
/ Y2 A+ o3 `" o6 a' O% p  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);7 t) O+ I+ B/ q/ G; C1 u
  g0 : for i in 0 to 7 generate -- column generate
( Y, r5 g' {2 K* d( Q    g1 : for j in 0 to 7 generate -- row generate
; C: a2 f- t0 x, P% Z; r7 L         u_2 : latch_cell port map(clr_l,scol_sel(j),srow_sel(i),mem_in,smem_out);, D8 t# g+ P* o5 a+ x! {
    end generate;8 x: Y$ A( h' @8 N: o! D* k9 J$ D
  end generate;
5 l% w- h8 [. h1 XEND ARCHITECTURE arch;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-7 11:27:36 | 只看該作者
您好  M3 G$ n" ?8 k) L
不用將address分成row跟column( T3 q% p/ r# c& d7 D' V! k" |6 O
這樣只是將問題複雜化而已% a5 h) V/ W; V) l" O
你可以想成有64個6 bit的暫存器,來組成你要的ram
, L2 i) [5 j' ?# d# A8 [
; C* m. q, Z+ @* o6 w用陣列寫法,code比較簡潔,建議使用4 \2 j1 ~0 ^( Y1 V- V* `
以下有範例,請參考
4 J, u& c0 D' h9 [5 N0 k1 k( Xhttp://www.doulos.com/knowhow/vh ... s/simple_ram_model/
3#
 樓主| 發表於 2008-3-7 12:30:16 | 只看該作者
不行耶,這樣就好了,我就是要講將實際的RAM電路寫成VHDL,不過還是感謝你的提示,難道真的沒有人這樣子做過嗎?
4#
發表於 2008-3-8 23:26:53 | 只看該作者
您好
8 p' D1 C+ T) y8 f: u' R不曉得你為甚麼要捨近求遠
) e$ |3 ^3 O" i9 N% i+ R8 K可以說說這麼做的原因嗎?
) k2 r! u+ J0 o; s+ c- a還有這是要做甚麼樣子的應用1 {$ j+ c3 V4 Q+ g- F& k
謝謝
5#
 樓主| 發表於 2008-3-12 23:02:03 | 只看該作者

記憶體問題

我只是試著把FPGA規劃成一顆RAM" o6 D$ J9 Q! S- V- W' s; ~
4 l* o7 y7 I- n5 v$ j- I
沒有什麼特別的啦^^...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2025-1-18 08:10 PM , Processed in 0.156000 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表