Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 2752|回復: 2
打印 上一主題 下一主題

徵求6X64記憶體VHDL

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-8 00:01:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
徵求記憶體6-bit data in 64個字組,不過不要用陣列的方式,很感謝大家提共意見,不過都不是實際記憶體電路的VHDL,我想要的是一個column decoder,一個row decorder,使用port map latch 64個,輸出要使用三態閘,個人想法如下:
  p3 F8 D% r! K/ x  @LIBRARY ieee;  }$ I2 y; L  p9 I0 D
USE ieee.std_logic_1164.all;
1 u8 Z. w, G/ O2 pUSE ieee.std_logic_arith.all;
8 y. }! r$ y) T8 K" F: x2 l1 Z0 k8 G* P; U/ h
ENTITY memory_64 IS
( n( ^& D7 g$ `- O+ b# _   PORT( % W9 K7 v0 f. r7 p3 k
      mem_in   : IN     std_logic_vector ( 5 DOWNTO 0 );9 r3 i2 b9 J" R9 P% W1 `
      mem_out  : OUT    std_logic_vector ( 5 DOWNTO 0 );
/ E/ J# O9 R7 X* I      clr_l    : IN     std_logic;/ P/ c& N$ d1 k
      mem_addr : IN     std_logic_vector ( 5 DOWNTO 0 )5 U0 E1 m/ w# a3 B7 G" [( v: I
   );# R: C4 V. s/ L' O, f% R: \/ S; Z% d0 j
% R. `! ^3 k5 A
-- Declarations2 f1 M; W4 }" h- o
2 Q' ^/ L1 G  W: F
END memory_64 ;. I; z+ ^, F3 v& C3 b2 z
5 P3 w: z9 V+ N! M4 ?
--
" z8 y# n# m* o9 Q( d9 hARCHITECTURE arch OF memory_64 IS4 o' d* o2 Z5 y1 T2 y' A' [# }, ~% o
-- column decoder
; O/ L- Q  h9 S$ I' R3 o! G% o3 p" Tcomponent mem_coldec
2 d. g$ Q8 t; n8 b, h& h   PORT(
- b6 V, ~  {  G      col_addr : IN     std_logic_vector ( 2 DOWNTO 0 );
; s4 G, \0 ~) j( y      col_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )/ ]+ q  e$ v, f) ]( U0 q
   );
6 O$ U  R. e2 z8 Pend component;
7 e, U5 l; }) W7 X" U-- row decoder
2 E/ q3 y, U7 o- E8 ccomponent mem_rowdec
4 U. p, S( E4 u6 R- ^6 Q2 T   PORT(
9 z( Z7 G4 C) ?$ P3 n1 I  b, o; T      row_addr : IN     std_logic_vector ( 2 DOWNTO 0 );$ l# ~) \; h. r7 Y/ Z
      row_sel  : OUT    std_logic_vector ( 7 DOWNTO 0 )3 S$ o0 S/ m/ y, W9 I$ O
   );1 L5 y2 F) Y8 u. E* l
end component;   . H( j2 E4 A# I2 J* [1 |4 n
-- latch array   ! S7 Z7 \0 K) L8 B# E; Q/ q1 P" P* ^
component latch_cell" ~# ?; D* N5 @# @# X, y" u
     PORT( 0 n* h3 v: U4 e; X7 Q+ k7 s
        clr_l    : IN     std_logic;
" y& i: t+ M) ?# C# s( t3 {8 H8 w        col_sel  : IN     std_logic;
0 `4 F% a2 a* w        row_sel  : IN     std_logic;        - k( F& n8 E6 R. Y% l2 e( L
        data_in  : IN     std_logic_vector ( 5 DOWNTO 0 );
' Z! U- Y8 f4 F! X! H& {        data_out : OUT    std_logic_vector ( 5 DOWNTO 0 )- Q* F8 X0 ^: W, f! f# l' x$ A
     );
$ C* `. a) N9 u* wend component;   
& s! E& ?) G% Q# l  O3 Q
6 u, Y% k( B2 F9 usignal smem_out : std_logic_vector ( 5 downto 0 );! y; r, e) m- `2 K# P( s$ M- `
signal scol_sel,srow_sel : std_logic_vector( 7 downto 0 );
" r' A9 D4 c$ ^4 x5 h5 x1 UBEGIN
0 T% P# M* v6 b! M- E; Z( U  u_0 : mem_coldec port map(mem_addr( 5 downto 3 ),scol_sel);6 }  J" J  q) n% z, r( o3 \
  u_1 : mem_rowdec port map(mem_addr( 2 downto 0 ),srow_sel);
3 c: I/ d# n# u# y+ N  g0 : for i in 7 downto 0 generate -- column generate
* @% i2 A/ |3 s9 v- K  f; v9 S    g1 : for j in 7 downto 0 generate -- row generate
+ d' [3 t0 Q$ X% S         u_2 : latch_cell
, w( X+ l) _5 g# k8 F" {         port map(
8 X' U+ ?" V1 ?; E         col_sel => col_sel(j)," _9 y' o6 x/ s+ F
         row_sel => srow_sel(i),
, x3 Q6 e; i" \1 g! j: p         data_in => mem_in,
+ o, Y6 q& B+ A/ y8 T; R/ ^. ?         data_out => mem_out(i)" |- c1 q4 j" d8 ~% D
         );1 }$ {: N& e9 h# s" S3 a" Q8 `6 ?
    end generate; ( [% a1 G; F4 c/ n
  end generate;
9 ~' N$ M3 {" J% u8 L/ MEND ARCHITECTURE arch;
) Y" @( q) {1 g6 g不過模擬很久,始終沒辦法寫進我想要寫的位址,試寫了很久,但是始終寫不出來,所以請大家幫個忙,不然那些範例網路上都有,有點急,請大家廣發建議,感謝大家!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-3-9 03:15:53 | 只看該作者

Try to read how other people working on ram using VHDL

http://tiny-tera.stanford.edu/ti ... ibm-ssram-dist-1.1/7 p7 K( q8 ]& S" V& W

  Z4 ?5 B% b! P+ x/ wYou are designing ASIC ram? or use it in FPGA?
3#
 樓主| 發表於 2008-3-9 08:05:19 | 只看該作者
Thanks for your solutions!4 J% T+ {5 j4 x$ T  f4 u; V
/ j& O5 ~0 k2 K; s; ]
That is what i want it!
0 I% \: c0 }: s) O" I* k  M( d, t& Q% o/ U; _; i, B
This question already slved, please do not reply anymore.
- e7 n6 B2 r( x9 }: l8 c
5 Q) r' @% v: k6 d. J# b! OThanks again...
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-30 01:11 PM , Processed in 0.106014 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表