Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5709|回復: 8
打印 上一主題 下一主題

[問題求助] VHDL 倒數60分鐘

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-10-6 22:04:12 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
因為我用的程式是Quartus II* w6 `4 n. _+ \8 f, E
' h( e( r+ A* Y( j  q
而且我用的是元件編輯電路圖
5 x/ z2 c9 s" ^8 k
! D; L* B! d! }0 C  s5 ~$ g) u我知道需要 一個倒數60分鍾的主程式
$ V6 I+ J2 [5 v1 G$ o& E6 J2 y
0 W+ w* F0 O0 |1 y1 Q' c可是卻不知道如何下手...; n0 |1 q9 i$ i5 \2 r

' ~% i1 ?% S, m+ A, a  {但是又怕有了
; z8 l4 z7 P6 a! L. y% E% s8 t# s* I" v8 H1 i, e) Z! C
電路圖卻不知道如何連接= ="
, [  F6 c- w  u7 G+ {/ G  s+ v% \3 a/ r) i- @. D
請問有高手能幫幫我咩?! r) e, V7 B2 t( D. m1 }6 u

! ~- W: m9 o. X3 [5 [" @/ {. q. Q或者給的方向倒數怎寫...$ P; Q6 L: f. o+ [) C+ c

9 p% L5 ^5 o/ {9 r- hxt_1014@hotmail.com
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-10-7 13:01:32 | 只看該作者
用VERILOG寫可不可以呀?
4 z" {$ l# ]3 W& d做個計數器,然後綜合一下就可以了吧
3#
 樓主| 發表於 2008-10-7 19:19:53 | 只看該作者
嗚嗚 ( M7 C7 e; S7 `" }9 y
我有爬到VERILOG的文章+ ]1 |8 N8 ^2 Q0 H6 n
可是我需要VHDL的寫法
! c) ~0 _5 J; y/ |9 X: l/ [% g4 I才可以跟其他電路方塊連接吧
  b' g$ v) N6 N, `4 ~6 C3 T: p8 X2 {: L/ {/ Q/ [
ps.其實我不是很熟...
/ `0 U: h3 |+ v" m9 e其他電路分塊都是vhdl的寫法
4#
 樓主| 發表於 2008-10-7 19:33:22 | 只看該作者
LIBRARY ieee; ! j+ j1 q3 `: w1 B; r( N& ?8 l
USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all;
. }! v& P6 S8 C0 ~- R% L2 N1 q: s+ g8 bENTITY counter_60_seg_v IS
# j# @3 F4 @3 B: w" F: {, o! Q0 {        PORT(Clrn,Load,Ena,Clk        : IN  STD_LOGIC;
$ {2 r2 \: Y, E, s7 k                 D0,D1                           : IN  STD_LOGIC_VECTOR(3 downto 0);5 ]" I# T1 F# }) i5 R
         Q0,Q1         : OUT STD_LOGIC_VECTOR(3 downto 0);$ s- t7 w1 }; U' H; ]
                 Co         : OUT STD_LOGIC);
( f" n5 B6 u, s# ^  @END counter_60_seg_v;& x/ U, ~6 P1 j( f( j7 v* n9 K
ARCHITECTURE arc OF counter_60_seg_v IS8 p& |' ~( A, \
BEGIN% S! \0 U- X6 g& P
  PROCESS (Clk)
7 J5 O3 N3 y- d$ H* O) K     VARIABLE imper0,imper1 :STD_LOGIC_VECTOR(3 downto 0);
2 V/ t$ g1 n8 O. @# G  BEGIN2 W9 ?# A8 G+ O
        IF Clrn='0' THEN  imper1 := "0000"; imper0 := "0000";, c0 I8 U& Q3 j
    ELSE IF (Clk'event AND Clk='1') THEN+ O0 U2 F! L2 b! v. Y
                IF Load='0' THEN   imper0 :=D0; imper1:=D1;
! G' J3 s" L5 O' z: C            ELSIF Ena='1' THEN
) H$ X8 z: h0 T6 m+ l( B               IF imper0="1000" AND imper1="0101" THEN
! a( G# L8 e5 o8 ?+ b4 o1 G* s                  imper0:="1001";
& @3 c- {- W0 h3 f' `               ELSIF imper0<"1001"  THEN imper0 := imper0+1;/ u; c: @8 z9 ?9 R. G( p; q3 Z' y
               ELSE imper0:="0000";
. R: R, L, R) E+ [! x( E                    IF imper1<"0101" THEN imper1:= imper1+1;9 i; l1 E$ Z4 f
                    ELSE  imper1:="0000";
1 q  ]) b8 r* E! ?- I0 [$ \+ m* k                    END IF;) {0 i2 C( |! P3 q* m. v  ]8 I
               END IF; # n  y. d2 C( j, e4 o, K
             END IF;8 i: }7 a- _/ f' w& P8 ?4 r+ Q* X3 `
        END IF;6 l# p% Q% a3 X, \* w! {+ y
   END IF;& B; s" ?9 E; S4 @! [: L! A/ P" r
        Co<=imper0(0)and imper0(3)and imper1(0)and imper1(2)and Ena; ; ~$ B& b5 v2 z  U: d' n
        Q0 <= imper0; Q1 <= imper1;   7 K7 I8 t# E  \  b) B1 [- `
   END PROCESS ;& B) T& a8 P8 n2 r! I& I5 F
END arc;
" z$ q- D! r% y" }: |8 |4 F, l% B0 S  G0 E7 i
這個是60模計數器設計
0 M% C+ \0 K3 x! v3 m3 i- O+ U0 A要怎弄成倒數60?
# E# d  Q% ]2 l1 Q. o) \2 \# M有人會用成倒數60秒先幫忙吧6 k' z* a3 |- Y
請問一下我會用到幾對幾的多工器啊
5#
發表於 2008-10-8 13:12:02 | 只看該作者
現在的工具都支援verilog和VHDL混合使用。
) C, l' e: [# T6 }9 r; j8 G5 n現在的工具都支援verilog和VHDL混合使用。
6#
發表於 2008-10-8 20:54:49 | 只看該作者
這不是很簡單的東西嗎?怎會寫不出來 % c8 W# |2 H2 v0 ?  E7 n% J3 G
2 |' I4 H+ u2 n
3 T. H. G: b* w* O) V6 B
除頻除到一分鐘阿,在遞減counter就好啦....
7#
發表於 2008-10-9 09:03:41 | 只看該作者
Quartus II 可接受verilog, vhdl以及Schematic多種語言的混用,只須在呼叫的接口上分別對應即可。
2 P4 N5 O8 H0 `) _8 B若有須要,我很樂意幫忙。
; P7 S7 S; ?2 I& x09883103020 N+ V+ m4 [  Q8 L+ x, Z% F8 U
' Q0 J$ \) w( g0 n. J9 H
Danny
8#
 樓主| 發表於 2008-10-21 20:28:25 | 只看該作者
真的沒有人可以幫幫我嗎
# l8 g/ ]0 u" c, O: ]9 \身在澎湖資源有限
4 S3 J% @' q1 o: C只能上網求助資料~"~/ T0 l5 }. R/ D9 H) J0 O  g
我可以提供, O  b. Y2 J5 j5 z5 y
七段顯示器、除頻器、4對1多工器、6對1多工器、掃描電路設計圖、消除開關機械彈跳器的程式  N& d' f, K2 @; q4 {0 M& n
我是用電路圖編輯電子鐘的
/ ]5 o' E' J0 u# h! L% Q7 [所以比較不需要程式叫程式2 q( J4 _6 L: {. f  T) \
! A$ y, k. K2 |" Y5 l( N
拜託 有人能+我MSN
' T$ `: R. c+ x! }3 A9 q6 [xt_1014@hotmail.com
9#
 樓主| 發表於 2008-10-21 20:33:24 | 只看該作者
第一個是書上的電子鐘範例& j  S3 Y7 D) Z, D0 X" }$ _
第二個是我大概連接的電路圖
: R5 h, q; m/ W; h裡面的60模 靠自己的想法改了裡面的程式
; Z( J0 j/ O7 n. g9 `
7 i" b( d0 j) M" j3 q8 _: `( d或者有高手能用第一個電子鐘範例來修改??~"~
; y% K/ J0 \2 @, ~4 ~' r% w0 |1 p
: m  G. s5 D" hps.我只能用VHDL ㄒ^ㄒ

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-1 06:45 PM , Processed in 0.126516 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表