Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 20657|回復: 22
打印 上一主題 下一主題

[問題求助] 模擬OP時close loop出現奇怪的振盪現象

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2010-5-21 06:45:41 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
大家好:+ g! f) Y$ x& s
: @. h. W9 c6 }) W
小弟現在在模擬一個Folded cascode two stage的OP
. ?3 Y; E2 h9 n( d其open loop的響應一切正常,增益約為90dB,PM=70度# g1 b/ C% d( @# R
但是把它接成close loop測試其settling時出現奇妙的振盪問題
% q/ o2 w% G3 T+ f- v/ U) A) q; x已經debug兩三天,實在找不出原因,之前用傳統two stage架構沒遇過這種現象
: I. O6 p0 ?0 \" P' P. `不知道是架構選取的問題,還是有哪些原因是沒考慮到的
- G: R' D, x2 o3 G$ Y. h, Q4 e% ?  e煩請專家們抽空給點意見,謝謝
9 _) y0 d! p- q0 s% ?9 D- G: e  C/ B' Z. O' b/ }6 z
架構如圖:
# L' O4 @5 X& v% D. m
& e: T' n" k, ^+ a) ?# b: t0 [! b4 j
0 O! n. D& x" `其響應如下:
; G& h& l  m" `! a

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享分享 頂87 踩 分享分享
推薦
發表於 2010-6-8 21:27:29 | 只看該作者
我的理解还是phase marge的原因,这种情况的发生是因为你是用线性区的mos做调零电阻,在扫输入电压的时候,在接近VDD的时候CC与RC(MOS电阻)形成的零点会飘,使得phase marge不够i。你把mos电阻换成普通电阻试试,应该不会有这种现象了~~
回復 支持 1 反對 0

使用道具 舉報

2#
發表於 2010-5-21 08:22:24 | 只看該作者
Try increase compensation cap.0 O0 g6 X. J0 K, @9 A5 Q
Re-run ac sim again while adjusting the input DC point
3#
 樓主| 發表於 2010-5-21 09:06:18 | 只看該作者
您好
3 D' n- ^2 V% X4 `0 |
' |' U1 U3 B: O" e% d$ m8 p我原先的miller cap是4pF, totally frequency response如下
" I5 b+ W9 q, ]) ]  n/ P8 }: R
- [6 J; V* R' C+ a* e
當初一開始就覺得是phase margin有問題,可是怎麼check都不像7 C! }& _) g8 f9 j
當miller cap等於400pF時,這個現象仍然存在,下圖為我打入一個step之後的響應
+ K' D5 a+ ~1 P& f0 J, G( a! E
* |4 b0 N7 G& U. J0 R- L: j/ U
. Z' g. Q; v# ^  O就只是振盪變緩了,可是整體現象仍不變. Z, v; `9 U) x+ |
不知道該怎麼辦~~感謝您的回答

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
4#
發表於 2010-5-21 10:31:53 | 只看該作者
本帖最後由 arsenal_he 於 2010-5-21 10:33 AM 編輯
; z1 k3 C: B7 e' Q4 ~  U; t5 p3 u' G# N
How about set smaller plot step size?
, g' J, Q+ g9 |8 \: g9 [In addition, how did u connect the close loop?
5#
發表於 2010-5-21 13:08:03 | 只看該作者
請問一下,run ac & train分析時,在output端的load是相同的嗎?  c( K( T6 P5 Q2 a# y
奇怪的是,在ac看到至少有100MHz的unit gain band width,怎麼會在train分時,slew rate要10us?
6#
 樓主| 發表於 2010-5-21 17:26:51 | 只看該作者
感謝阿森納與suewe的回應,我的loading cap.都是假設為200fF' R" c* r) Q2 C8 }, D
您說的將X軸的time step改小我試過了,仍然得到一樣的結果( [& {4 |; Q+ [* J; j! [
其電路的接法就如同傳統的unity gain buffer如下
4 h( C& V2 M) d7 ]在vin+端打入0-1.2V(VDD)的信號來測試其slew rate與settling time  Z1 y" k4 w4 n# b
# _6 l& ~3 A/ H: _" x
很奇妙的是,如果我打入的輸入信號是0-1V就不會有這種情況,如下圖所示
0 Z) i1 D# K6 O7 J  w此時的slew rate就"看似"為正確的4 A: p/ X! ~* B6 Y1 B& i+ \% W

( |' w# Y2 V/ q. W6 W( o但對Y軸zoom in會發現還是有奇怪的振盪信號存在, o( h, @4 Y3 ]2 i
/ C$ A3 P  h% M% N! X
打弦波去做測試,發現在input為100-MHz時
0 w! T, W% g8 }" ]會有一個很明顯的反轉現象,關於這個我沒什麼sense/ W( {; G! S# p) k+ O& a
打10-MHz或1-MHz的input,輸出也會在某些地方會"措"一下
3 r7 ]- b" h% k. n
% S, a& X% S: S4 s在小弟的認知上,open loop的PM對應到的是close loop的damping factor* q( h. s* N: c% O6 u1 j8 e3 T) a
大不了就抖一抖,但在PM為正的情況下會越抖越小
3 z5 |7 _1 T; c6 T% F, i% E然而這個現象比較像是在某個點上滿足巴克豪森條件
# Y( J8 B8 \8 Y7 p* {. C+ W能力不夠實在是無解,或許是我電路有接錯也說不定

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
7#
 樓主| 發表於 2010-5-21 17:34:43 | 只看該作者
以下為我的spice code,煩請有心人士不吝指教/ ^' d2 I8 s( @
因為有點冗長就用貼圖的
  Z3 y: }) l; Q4 T( J. B: Q" b: k3 |4 k$ c/ l3 b, `" Y1 N. V

  u7 d' m2 \9 F+ a* @5 _" l" X5 d

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
8#
發表於 2010-5-21 17:41:53 | 只看該作者
請問樓主你跑AC分析時, 你給的信號輸入DC 是給1.2V嗎???& o, X) d# I+ {# Y% r) @; v
若是??你的power是1.2V, 輸入DC LEVEL 1.2V 有些
1 \. w: X$ {0 SMOS的操作區間應該會掉出飽和區, 這樣gain應該會掉下來! {' w- c# ]& W! k! w5 i
若不是, 那你的AC分析點並不是你跑暫態的操作點, 這樣你的! h1 W5 r1 Y' ^& `( L8 _
頻率響應結果並無法對應到你的暫態響應結果
9#
 樓主| 發表於 2010-5-21 18:49:44 | 只看該作者
我貼code好了,剛剛發現點圖好像除了我自己以外都要錢
" T/ Y3 }9 H2 s8 d4 `真的很抱歉,我不想故意歛財
8 V; ^* v+ F+ R# V3 f; W! J8 a
/ g- N; @% c: a  }1 Q  G5 c以下為第一部分+ l, C9 M) i# O# l% x! C, p) f# x9 ~

6 z  @% W1 ]$ F* E.option post accurate acout=0
" F4 \- m2 i6 c  h/ U. ].global vdd gnd!% n# t! R. ?' M4 J2 I
4 r8 X! P- P& H' [2 E
****** Supply ******
- P+ Y5 I1 ?& t% @6 J  A6 z1 y4 z: `
& |3 `, W3 K5 ?, d' }4 A  U3 q# u" dVdd  vdd gnd! 1.2' L5 s. o2 {; r* O. {3 |- _
Vss  gnd!  0  0) \. W, M2 [" Q4 T) V# d
Vin1 vin+ gnd! DC='vdc' AC=1$ q; \, j4 r7 [, f  q- B
Vin2 vin- gnd! DC='vdc'
$ O/ V) H7 ~3 ?5 ~; q! a*Vin1 vin+ gnd! DC=0.6 pulse (0V 1V 0 1u 1u 10u 20u)*SR$ e1 z8 n+ F9 j  x8 A4 x9 A0 q
Vin1 vin+ gnd! sin(0.6 0.6 100X 2ns)
0 {1 J( \$ j# V% b6 e* ~.param vdc=1: I  K: Z; x( z2 }  S
**************************************************4 y( R2 [5 H- H; H. D

: i: M4 }! I" x1 G/ P7 D*bias*" }- ?9 X2 y8 `2 t, T
6 ~" {; C( k2 F  T; R$ i! P! A
.subckt BIAS vbiasp vcascp vbiasn vcascn: A$ [% a( }( \+ h; a4 Y
' Y" N: o  c% D) Z3 ^' k% {9 {
M1        vbiasp        vcascn        nc        gnd!        nch        w=10u l=1u M=1( Y6 Q3 `- X. e& C+ p
M2        nc        vbiasn        nd        gnd!        nch        w=10u l=1u M=4
7 z- k) A9 d# J  E5 m" d) [M3        nf        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1
" S# W9 a6 D0 d4 q$ M- B: r# \M4        vbiasn        vcascn        nf        gnd!        nch        w=10u l=1u M=1
. Z. t7 [: b8 H2 P6 `M5        vcascn        vcascn        gnd!        gnd!        nch        w=2.5u l=1u M=1
* H+ B; D; U4 I2 z7 x+ NM6        vbiasn        vcascp        nh        vdd        pch        w=30u l=1u M=1+ T% P4 j! g7 o& Q
M7        nh        vbiasp        vdd        vdd        pch        w=30u l=1u M=1" l1 Z4 e1 l/ H. W
M8        ni        vbiasp        vdd        vdd        pch        w=30u l=1u M=1. R: d. B1 _- S/ u5 l; h1 |" F" s5 X
M9        vbiasp        vcascp        ni        vdd        pch        w=30u l=1u M=1
  L( T9 G1 K* M( ~M10        vcascn        vcascp        nj        vdd        pch        w=30u l=1u M=1" L: q2 _3 C  R
M11        nj        vbiasp        vdd        vdd        pch        w=30u l=1u M=1
/ }+ _- i2 K: l9 n! W7 ^6 CM12        nk        vbiasn        gnd!        gnd!        nch        w=10u l=1u M=1
' A: @2 S7 `" C0 T# l- {M13        vcascp        vcascn        nk        gnd!        nch        w=10u l=1u M=1) B1 V) k6 r1 }/ ?& }  ?
M14        vcascp        vcascp        vdd        vdd        pch        w=7.5u l=1u M=1
9 ~6 }  I9 n$ n" W5 h1 fRb        nd        gnd!        2k
2 _  D4 e! w# a1 j$ B  P3 }
7 i) U; j) [, a& Y*start-up*
; \  i; X0 d& l  S5 uM15        vbiasp        nl        gnd!        gnd!        nch        w=10u l=1u M=19 w  Y, E6 L9 `6 L
M16        vcascp        nl        gnd!        gnd!        nch        w=10u l=1u M=15 Y* R5 _/ `, O6 q; j4 ]$ n
M17        nl        vcascn        gnd!        gnd!        nch        w=10u l=1u M=1- \7 c- G' L4 N- K7 g. f
M18        nl        gnd!        vdd        vdd        pch        w=0.2u l=1u M=1" h" S+ C! R3 P" ^

& f! W( C8 g: F) l, i6 H.ends" R0 n' w0 `0 H. c

" E: I3 y7 @1 M5 t7 hXbias        vbiasp        vcascp        vbiasn        vcascn        BIAS% @4 Z9 W: v# `; A. ?, g, ^) r
. j' @3 y" o3 G. B
*first stage*
: k0 O; ?0 q  k* d! Y2 ~Mq1        n1        vin+        n3        gnd!        nch        w=10u  l=1u M=10
5 y1 h% H, I% O2 I; S4 Y1 X8 l*Mq2        n2        vin-        n3        gnd!        nch        w=10u  l=1u M=10
1 h$ r5 p' x7 d& I9 B0 |Mq2        n2        vout        n3        gnd!        nch        w=10u  l=1u M=10 *SR test8 M. b3 A/ g, `$ v0 c
Mq3        n1        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4* C4 E1 R1 g7 ~* ~8 P) x
Mq4        n2        vbiasp        vdd        vdd        pch        w=30u  l=1u M=4
2 b; I! k0 q- vMq5        n4        vcascp        n2        vdd        pch        w=30u  l=1u M=1; R8 I! I9 W3 S
Mq6        out1        vcascp        n1        vdd        pch        w=30u  l=1u M=1& n+ e) e3 Z( U  Q, v
Mq7        n4        vcascn        n5        gnd!        nch        w=10u  l=1u M=1
: C; b5 F9 [, r" K( m* o0 t. nMq8        out1        vcascn        n6        gnd!        nch        w=10u  l=1u M=1
4 x0 b% ~' R8 S4 tMq9        n5        n4        gnd!        gnd!        nch        w=10u  l=1u M=1" e9 V) i! l. n4 u2 D
Mq10        n6        n4        gnd!        gnd!        nch        w=10u  l=1u M=1
. c3 D- U2 }2 y7 w, DMq12        vbiasp        vbiasp        n1        gnd!        nch        w=10u  l=1u M=11 y  a  N# E5 ~* Z& \0 c
Mq13        vbiasp        vbiasp        n2        gnd!        nch        w=10u  l=1u M=1
10#
 樓主| 發表於 2010-5-21 18:50:30 | 只看該作者
以下為第二部分,感謝大家看到這邊
: L2 M& [+ i! `0 y) i- z# m. c: o5 M) J3 a1 I
Mbias2        n3        vbiasn        gnd!        gnd!        nch        w=5u l=1u M=13
: o* `/ Y% A  ?* k3 o
$ c$ t# B! C) G# X5 d1 M& }: l' p6 R*two stage*
  C! V  R/ p) z) R2 k8 I# u, r2 n2 _
Mt1        vout        out1        gnd!        gnd!        nch        w=10u  l=1u M=6
5 ?4 P, b3 [: w. i! XMt2        vout        vbiasp        vdd        vdd        pch        w=30u  l=1u M=2
! X6 ~( V8 [4 Y! A. P/ {9 ~4 G1 S7 M8 j7 W% [) H9 I* f
Cload        vout        gnd!        200f
' h7 J0 w1 \( S5 P, k2 ~/ s7 e* p9 y# x1 i# C4 {$ a/ D1 M7 M$ g
*lead compensation*' i0 m. R2 s: {7 E
Cc        vout        n7        4p' R- Y& e7 \+ k- a( w
Mc1        n7        vdd        out1        gnd!        nch        w='Wc'  l=0.2u M=1
3 `% Y' k, t# H*Rb        n7        out1        'Rb'" H, J% i0 c6 _0 x  ]
.param Wc=0.8u
( J1 a' F- G1 ~! P- r! k3 z' J5 e: ~* Y1 B5 Q0 p
****** Analyplysis ******6 I3 p* V; H' r' Y5 [
.op! B6 B$ {1 t  u. e: |
*** DC ***/ }3 _) D& t( p" \$ B
*.dc vminus 0.59 0.61 0.001
7 z  B% D. _9 ]; V4 \) j# ^+ |+ y' ?*.measure dc        Input-Offset        FIND        v(vin-)        WHEN        v(vout)=0.6        ) Y3 I8 a2 x6 D1 S5 A
*** AC out ***$ M* M4 i# [3 c) H, h
*.ac DEC 100 1 200X
+ @$ v8 a$ j0 j  z2 F; Q.measure ac         Unit_gain_freq         when         vdb(vout)=0
% ?5 n0 d' f' b( A  f9 ~.measure ac         phase         FIND         vp(vout)        when vdb(vout)=0
0 v, a+ s$ m6 s  R+ Q) Q.measure ac         gainmax         MAX         vdb(vout)2 C( e$ x) t! s  M, Q
.probe ac PM=par('vp(vout)+180')
! S9 f; ?, A1 {3 `.probe vdb(vout)
; W. J5 }" |, M$ s  g.probe vp(vout)) [/ A, w" I2 z6 J  D4 J& q
.temp 277 C, O7 G- x  g. _
*** Slew Rate ***7 l, n& e! @5 a
.tran 1n 2u *100u
2 G$ u* c  t% ^' J*.measure tran UPSR DERIV v(vout) AT=0.5u9 O2 a1 R& P, [. W# n4 e
*.measure tran DNSR DERIV v(vout) AT=1.5u
; ]# v& L4 E) }3 X
( |; ?7 i/ z. L$ o! @.end
11#
發表於 2010-5-22 01:13:45 | 只看該作者
You opamp is not rail2rail in or rail2rail out, and even for 1v application, still you need to decrease the vdsat of your current sources
12#
發表於 2010-5-22 22:35:12 | 只看該作者
看起來是你第二級那邊有問題,一般的摺疊疊接怎麼跑都沒有這問題
1 s: e7 u) V& f. I. n% H不然試看看把把L調整一下,不知道你是不是因為要衝增益或是計算方便才把L調這麼大
13#
發表於 2010-5-24 14:22:19 | 只看該作者
檢查一下bias ckt 的 vbiasp vbiasn 波形是否為一常數值
14#
發表於 2010-5-26 09:35:37 | 只看該作者
VDD才1.2V, 5 C4 |# y; m6 S. T3 `& j
輸出端又是class A, 怎麼能夠讓你跑rail to rail??
5 U2 N- c$ B+ {# KVin能到0V也是大有問題,輸入端也不是rail to rail,
3 d( \$ C4 d2 x1 g. P3 E: y  `Vin=1.2V的相位失真應該是在輸出端, 因為早就失去它飽和區的操作~~
% f  Q3 T, _/ ~) oAC沒有問題是因為你的輸入偏壓點DC=0.6V,當然合乎她的工作範圍
; i+ {4 [( A* N  [  `5 |用sweep的方式,DC=0~1.2V,你就會發現哪些偏壓點的相位失真了; @- h& L7 o2 W: z/ Z$ y0 ?

* T8 a# \, W" }) B2 m& u; l這是新新手常出現的問題
15#
 樓主| 發表於 2010-5-26 17:09:48 | 只看該作者
本帖最後由 Bookert0921 於 2010-5-26 05:10 PM 編輯
9 ~" N( m$ J1 J% y, g% W" |% s* P. J! W. v
我後來debug出來了,跟大家分享一下,以下先回應各位大哥的問題
6 h) z" y# |& ^4 \* o1 W期望可以學習到更多的東西
1 q4 s7 y7 E  l1 t1 x  n) h' `5 m! }3 [$ Y! \6 J$ T
回應阿森納大
0 |- E! x2 n8 {! f% I  r就算input不是rail to rail,其整體操作打0-VDD的方波在接成unity gain buffer還是可以達到應有的輸出
8 ^, P) m8 c% W, F6 u" t只是在那時並非操作在電晶體該存在的區域,所以速度會呈現像是e^-1次方緩慢成長而非線性增加. ~( d" X, W- B% u/ s& q
以下圖為例,是一個PMOS input的two stage OP
1 _0 i/ t# a! W( L' x/ x
- r" G% |; _8 b8 V當Vin+端為VDD時,電晶體關掉,而Vout逐漸上升
( Q. U8 m2 h& ?" S% ^左邊Vin-那顆電晶體也逐漸關掉,但相較於右方輸入級接到的是絕對VDD的值: P  D* w5 ^& Z7 s9 A* o
左邊電晶體關掉但因為Vout還沒等於VDD所以subthrethold leakage會比右邊的大
4 b* G, F* x' b, {% J* q' @最後逐漸將他充到接近VDD而完成一次buffer的操作
& f: @* c0 ?( I5 j: Y6 ~# P3 k5 K" Z  _" U. n9 K0 `, x" f2 O3 t
而輸出端是rail to rail吧!輸出為零時把下方NMOS壓到triode region輸出VDD時電流源自己triode
' ?; }2 o8 |8 ^& \我之前在模擬一般的two stage OP和gain boosting OP時打方波進去都是OK的3 b  r# I. S5 T4 j, k

1 W/ a1 x1 [! K# d; S" ~回應e2000大
) Y/ Z" L, M; ^  M; Nchannel length是為了在低壓下實現出高增益的放大器
3 W4 K! w: W+ t# A1 W主要是因為之後要做的DAC大概估了一下gain error導致的nonlinearity而算
5 U! T- ~6 _5 m$ Y( \速度上的考量是還好,重要的是精確,所以當時才會以length為1下去做設計

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
16#
 樓主| 發表於 2010-5-26 17:10:23 | 只看該作者
回應li202大
( I$ m& J- b6 U5 `# Z& S8 {輸出端肯定是可以跑0-VDD的,雖說是class A操作,在大信號的操作下
) _( I4 j/ V8 [$ W仍然有辦法把電流源hold住或者把它全部導到地
6 r7 J4 n! t6 A2 W而針對你所說input DC位準在1.2V下其他電晶體會跌出saturation$ F0 m' M) o" t. f: D
我有不太一樣的看法,一般來說NMOS input,在意的是common mode的下限/ C8 i/ A& u- j+ G$ [
而PMOS要注意的是common mode的上限,對NMOS input而言# H) u% B) u) }) X1 @0 c
只要操過那個點之後電路都會維持在saturation region3 H+ c4 P  o2 @% i' w) t* Y3 {  @
而會改變的是電流源的drain端voltage,但那只會讓電流源更加的deep saturation
  w" G) `9 N! x: Z( D4 T所以應該不會造成其他電晶體跌出saturation外
% I+ r/ n) q  o* n' Z9 i- S而輸入端rail to rail我在前面回應過阿森納大,我認為輸入不是rail to rail沒關係3 Z: g' U2 z% ]* \3 n
若有rail to rail的方波打進來,接成unity gain buffer後只要輸出可以rail to rail即可呈現
17#
 樓主| 發表於 2010-5-26 17:11:45 | 只看該作者
後來這個暫態的問題我自己的發現是因為folded cascode這個獨特的架構
* c" h+ F$ c& G8 X+ p/ k. ]  o如同B. Razavi AIC的p.333和Martin的p.268,我把圖抓出來如下所式3 j$ B$ Y5 c) M4 U; s" E- {5 l1 C
當Iss>Ip時會潛在性的造成電路有不穩定的可能發生,我當初設計時有加入clamp transistor(圖上沒畫但code裡面有)
( }; Z/ L% ^) h
* E! |6 ?0 b2 ]" t但是因為folded那級的電流太小,以致於NMOS的drain端遭受很大的暫態* q- ]  }' u  q
所以我就加入.ic去看該點電壓在何時會導致不穩定,在將folded那一級的電流給加大* h  h- u7 m' y" M: A1 l
如同書上所講,當Iss=Ip似乎是個比較好的設計,這是我這次學習到的教訓; U/ J4 G$ v% j/ Y& x

8 s1 f6 ^+ M  j& b4 ?; |$ s1 [0 [如果覺得小弟哪邊觀念不對,希望大家不吝指正! ?  f( }5 `! c& G4 ~7 F
電路設計就是需要被大家教訓一下,才會刻苦銘心
+ j2 U& P; S( ?4 M: {0 k以上,謝謝大家

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
18#
發表於 2010-5-27 10:08:46 | 只看該作者
回復 17# Bookert0921
4 y8 F8 M: o3 @  H4 x我觉得可能不是这个原因造成的!
19#
發表於 2010-5-27 23:50:01 | 只看該作者
your input command mode rage is 0 to Vdd - (Vsg1+Vdsat5)' B7 Y  k$ a8 X) I! @$ z8 J
output command mode range is Vdsat7 to VDD-Vdsat6% S/ ~* \9 l% d

6 F) R2 D9 B& O; |. J" dif this opamp is connected as unit gain buffer,+ H/ \8 \* U+ k- X' j& S( U; V
then the input & output command mode rage will be the same as vdsat7 to Vdd - (Vsg1+Vdsat5)
- z+ a2 C+ B- r( M, ^! ]+ l! V/ G4 x9 l# W( Y, c
don't trust simulation too much !
$ A7 S# ~# M+ sIf you really want to design a real world opamp.
20#
 樓主| 發表於 2010-5-28 10:44:52 | 只看該作者
謝謝chungming大的回應
) t# Y# b( \' ~$ I. a5 ]可以請問一下,考慮上述in/out common mode的情況下
, Q* v- F4 l8 {接成UGB為何在模擬上仍可從follow input的方波從0-VDD
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-17 02:19 AM , Processed in 0.157520 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表