Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 27782|回復: 13
打印 上一主題 下一主題

[問題求助] 關於Verilog寫法如何寫一個buffer

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-8-18 15:31:25 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
抱歉..我剛學verilog..6 B' H) e/ t8 G+ I9 D1 w, [
請問在寫behavioral model時,一個buffer的功能可以用latch的方式來寫嗎?0 q* E6 c9 y( [$ h( D
7 h" r3 B0 b% w/ E% l& W
[ 本帖最後由 celadon 於 2008-8-18 03:36 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂9 踩 分享分享
2#
發表於 2008-8-19 11:41:46 | 只看該作者
如果不是latch base的design不要用latch,你要的答案可能為:& x2 s  I9 x2 c: H0 q7 e" P
module buffer(
. b. A) [) U+ V1 D5 ]3 D* z3 ~) Pinput I,# C! t2 J0 x' K; ^, g" P
output O
2 C+ c" ?# f* d2 d( }  _);
4 z3 e& Z6 _( Y3 P( x: ~) ?  assign O = I;
1 [6 p* E/ W6 e3 P. O+ s, uendmodule
3#
發表於 2008-10-7 13:03:57 | 只看該作者
二樓說的很對,樓主還是好好學學基礎知識吧。這個很簡單的~~~~
4#
發表於 2008-10-21 11:11:28 | 只看該作者
再加個 #(delay), 會比較真實點, 或者是直接CALL vendor所提供的BUFFER LIB.
5#
發表於 2008-12-1 10:54:15 | 只看該作者
讓他反向再反向 0→1→0
; {$ c7 E( }9 w, p; F( x" T! T' }# P. Z
2樓大哥說的也行.................
6#
發表於 2008-12-14 23:15:55 | 只看該作者
3 h0 X* Q$ I$ [' j2 a; P' Q. g
這個很簡單, j% e' N, i  N; e( r
書上都有~~也有一堆資料~~~多多學習&&
7#
發表於 2008-12-16 11:35:43 | 只看該作者
真的使用BUFFER的話,2樓大大那各就是 4樓大大還可以實現合成之後的延遲
/ |! H1 R% j1 E/ L, Z% T; s% y  x這樣可以再合成後看到一各
( L" ~0 z# E% F- T4 H不然你寫成LATCH也形$ X1 ]9 b/ b8 m( K0 U
如果只是確認延遲狀態而加BUFFER9 P! T% ]) Y) W2 H7 @
你乾脆加各延遲比較快 又不會增加design 的gate
/ W! b" u% U( \! \: v+ J6 Y" |! P) T$ D$ m" g5 a# l
[ 本帖最後由 kosenmagic 於 2008-12-16 11:37 AM 編輯 ]
8#
發表於 2008-12-19 09:07:34 | 只看該作者
Altera lib裡有一個buffer cell叫LCELL,可以拿來用,約Delay 2ns,看要Delay多少,一直串下去就好,可以試試哦^^

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
9#
發表於 2012-6-27 18:00:08 | 只看該作者
回復 8# jason_lin % t, b; p3 R4 Y- t" ^* F% Y0 B

  V* n3 X  v  j8 L2 S3 m. t! b' b; H# q' b( l! l
    受教了~謝謝!!9 Y+ u0 |' S' r* ]( T& |# a. v
    大家經驗都好豐富~
10#
發表於 2012-12-3 13:33:42 | 只看該作者
感謝大大分享的資訊
# P9 W4 Q7 F+ w/ ]/ {7 R
% m' `. P$ }% F2 y7 `' r: D3Q~~~~~~~~~~~~
11#
發表於 2015-7-1 17:20:08 | 只看該作者
9 n% `1 o3 W( U4 ^; C
感謝大大分享的資訊
$ {- ?( k% v( ^6 g: Q
: N$ v( Q( n% P- `2 P3Q~~~~~~~~~~~~
12#
發表於 2015-12-10 16:13:39 | 只看該作者
如果要做串接的話需要將電路KEEP住喔!; M# T" Z. x% ~8 ?
不然板子會自動將電路做優化~4 [4 r9 h4 |: d+ @  C) Q3 d
串再多都沒用!
13#
發表於 2021-7-30 08:18:50 | 只看該作者
如果是純verilog code設計 就加delay. X" O" c$ X( s9 c9 S
如果是後面合成 cbdk有delay cell可用
14#
發表於 2022-3-8 09:51:21 | 只看該作者
感謝大家的分享
2 j& H9 T+ i. V. l; y5 x剛好也想找解法
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-16 04:57 AM , Processed in 0.137517 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表