Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4

新思科技數位與客製化設計平台取得台積公司N3製程認證

2021-11-1 02:09 PM| 發佈者: SophieWeng@G| 查看: 542| 評論: 0|來自: 新思科技

摘要: 新思科技數位與客製化設計平台提供可支援台積公司N3與N4製程需求的強化功能,能加速時序收斂,並有助於達成從合成到時序與物理簽核的全流程關聯性。 ...
新思科技致力實現新一代系統單晶片(system-on-chips ,SoCs )的功耗、效能和面積(PPA)的最佳化,並宣佈其數位與客製化設計平台已獲得台積公司3奈米製程的認證。該認證通過嚴格的驗證,是以台積公司最新的設計規則手冊 (design rule manual,DRM) 和製程設計套件 (process design kit,PDK) 為基礎,而取得這項認證也可說是雙方多年合作的成果。此外,該平台也已取得台積公司N4製程的認證。

台積公司設計建構管理處副總經理Suk Lee表示:「很高興看到與新思科技多年來的合作成果,也樂見他們的設計平台取得台積公司最先進製程的認證,帶來最佳的PPA。藉由雙方的策略合作,我們能讓客戶實現新一代 HPC、行動、5G 和 AI 設計,並快速將創新的產品推向市場。」

數位設計流程是以緊密整合的「新思科技融合設計平台」為基礎,採用最新技術以確保更快速的時序收斂(timing closure),以及從合成到佈局繞線再到時序及物理簽核的完整流程之間的關聯性。該平台經強化後的合成與全域擺置器(global placer)引擎,可達到程式庫單元(library cell)選擇和佈局結果的最佳化。為了支援台積公司的超低電壓設計收斂,新思科技優化引擎已改為使用新的footprint優化演算法。這些基於雙方策略夥伴關係的新技術,對於利用台積公司N3製程的設計來說,有助其PPA的提升。

Custom Compiler™ 設計和佈局解決方案是「新思科技客製化設計平台」的一環,能為使用台積公司先進製技術的設計人員帶來更高的生產力。多項Custom Compiler的強化功能已獲得包括新思科技DesignWare® IP團隊在內的3奈米先期用戶的認證,能降低3奈米技術要求所需的心力。新思科技PrimeSim™ HSPICE、PrimeSim SPICE、PrimeSim Pro 和 PrimeSim XA 模擬器是PrimeSim連續解決方案的一部分,能改善台積公司 3奈米晶片設計的周轉時間(turnaround time),並為電路模擬和可靠性要求提供簽核範疇(signoff coverage)。

新思科技數位設計事業群總經理Shankar Krishnamoorthy表示:「我們與台積公司的持續合作關係為其先進的 3奈米製程帶來了高度差異化的解決方案,讓客戶在設計複雜的SoC時更具備成功的信心。在整體流程中因為有了能實現3奈米製程的多項技術創新,設計人員得以充分利用PPA的精進,進行新一代HPC、行動、5G 和 AI 設計。」

新思科技設計平台獲得認證的主要產品如下:

數位設計解決方案
  • Fusion Compiler™ RTL到GDSII 解決方案
  • Design Compiler® NXT 合成解決方案
  • IC Compiler II™佈局繞線解決方案

簽核
  • PrimeTime® 時序簽核解決方案
  • PrimeTime® 功耗分析
  • StarRC™寄生萃取(parasitic extraction)簽核
  • IC Validator™物理驗證解決方案
  • Tweaker™ ECO收斂解決方案
  • NanoTime客製化時序簽核
  • ESP-CV客製化功能驗證
  • QuickCap® NX寄生萃取3D場解算器(field solver)

SPICE 模擬和客製化設計
  • PrimeSim HSPICE、PrimeSim SPICE 和PrimeSim Pro 模擬解決方案
  • PrimeSim XA可靠性分析
  • Custom Compiler客製化設計

相關閱讀

您對這篇文章有任何想法嗎?歡迎留言給我們。
您的姓名:
您的電子郵件:
標題:
內容:



首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-30 02:13 PM , Processed in 0.070004 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

返回頂部