Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4

新思科技與台積公司合作 加速 3奈米製程創新以實現新一代 SoC 設計 ...

2020-9-10 11:15 AM| 發佈者: SophieWeng@G| 查看: 742| 評論: 0|來自: 新思科技

摘要: 新思科技宣佈旗下數位(digital)與客製化(custom)設計平台已通過台積公司3奈米製程技術的認證。該認證是以台積公司最新的設計規則手冊 (design rule manual,DRM) 和製程設計套件 (process design kit,PDK) 為基礎, ...
新思科技9日宣佈旗下數位(digital)與客製化(custom)設計平台已通過台積公司3奈米製程技術的認證。該認證是以台積公司最新的設計規則手冊 (design rule manual,DRM) 和製程設計套件 (process design kit,PDK) 為基礎,為雙方廣泛合作和嚴格驗證的成果,能帶來可實現優化的功耗、效能和面積 (PPA) 的設計解決方案,從而加速新一代設計的開發。

台積公司設計建構管理處資深處長Suk Lee表示:「我們與新思科技多年來的合作成果為客戶提供了基於台積公司先進製程技術的平台解決方案,令客戶受惠於台積公司3奈米製程技術所帶來的功耗表現與效能的大幅提升,進而實現矽晶開發的創新,同時能快速將產品創新推向市場。通過認證的新思科技設計解決方案讓客戶可以更自信地基於台積公司N3製程進行設計,並獲得優化的PPA。」

藉由與台積公司密切合作,新思科技開發出關鍵的特色功能和新技術,以確保台積公司N3製程從合成到佈局繞線,再到時序(timing)及物理簽核(physical signoff)的完整流程之間的關聯性。新思科技的Fusion Compiler™ RTL-to-GDSII 解決方案和 IC Compiler™ II佈局繞線解決方案已加以擴展可支援台積公司 N3 製程。經強化的新思科技Design Compiler® NXT合成解決方案能讓設計人員充分利用台積公司3奈米技術,透過採用高度精確的全新電阻和電容估計方法提升結果品質(QoR),並與新思科技的IC Compiler™ II佈局繞線解決方案具備更緊密的關聯性。PrimeTime ®簽核解決方案(signoff solution)支援先進的多輸入切換 (multi-input switching,MIS),用於精確的時序分析(timing analysis)和簽核收斂(signoff closure)。此外,Design Compiler NXT也讓台積公司N3製程能針對高效能運算(HPC, high-performance computing) 和行動設計提供解決方案。

為了利用台積公司3奈米製程技術達到特殊功能的最佳化,新思科技數位設計平台經強化後可支援針腳密度感知佈線(pin density aware placement)以及全域繞線建模(global route modeling),以便在標準單元針腳(cell pin)上達到更好的繞線收斂,同時也支援合法化與最佳化同步(concurrent legalization and optimization,CLO)以加快時序收斂(timing convergence);而透過新的單元圖/單元密度(cell map/cell density)架構將可用的空白空間(white space)極大化以改善PPA;針對HPC設計,藉由自動生成通路桐柱(via pillar)結構和局部平行繞線(parallel routing)達成導線(interconnect)的最佳化,而針對低功耗設計,則進行功耗感知(power-aware)混合驅動強度多位元正反器(multi-bit flip flop)的最佳化。

新思科技客製化設計平台中的Custom Compiler已經過強化,能加速3奈米類比設計(analog design)的實現。這些與3奈米先期用戶(包括新思科技DesignWare® IP團隊)共同開發驗證的強化功能,能減少為滿足新設計規則和其他 3奈米技術要求所投入的心力。新思科技的HSPICE®、FineSim®和CustomSim™模擬解決方案為台積公司 3奈米的晶片設計改善了周轉時間(turnaround time),並提供符合台積公司 3奈米電路模擬和可靠性要求的簽核範疇。

新思科技設計事業群系統解決方案暨生態系統支援資深副總裁Charles Matar表示:「我們與台積公司合作為其先進的3奈米製程技術提供高度差異化的解決方案,使客戶能更具信心地著手設計日益複雜的 SoC。雙方的合作成果讓設計人員能夠充分利用先進 EUV 製程在功耗、效能和面積的顯著精進表現,同時加速差異化 SoC 產品的創新研發。」

針對台積公司3奈米製程技術之新思科技技術文件可洽詢台積公司。新思科技設計平台中獲得認證的主要產品如下:
數位設計解決方案
    • Fusion Compiler and IC Compiler II 佈局繞線解決方案
簽核(Singoff)
    • PrimeTime 時序簽核
    • PrimePower 功耗簽核
    • StarRC™ 萃取簽核
    • IC Validator 物理簽核
    • NanoTime 客製時序簽核
    • ESP-CV 客製功能驗證(functional verification)
    • QuickCap® NX 寄生場解算器(parasitic field solver)
SPICE 模擬和客製化設計
    •  HSPICE, CustomSim, and FineSim 模擬解決方案
    • CustomSim 可靠性分析
    • Custom Compiler™客製設計

相關閱讀

您對這篇文章有任何想法嗎?歡迎留言給我們。
您的姓名:
您的電子郵件:
標題:
內容:



首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-4-18 08:28 AM , Processed in 0.072004 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

返回頂部