Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用3 g  _: P1 i: P* t0 d$ D# t: }5 y
感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個
. c; Q6 l2 u5 l0 a. c0 \輸入:clk, rst
: D7 Q+ K4 c# j' k輸出:tens(十位數), units(個位數)
- H, a- M2 z& T3 `0 W5 a3 L2 @, D' E% y, h& F
code :
' f" X/ ?& U- F% x1 A- K9 u8 M. X* w% n, y! u* {# f
module BCD(clk, rst, tens, units);! t* \- Q  i: E. f
  input clk, rst;
$ y  D8 O( g8 |* N# K4 X$ A- D# j  output [2:0] tens;; j* m3 E8 U& z
  output [3:0] units;: k7 d% p' c. x- c% n
  reg [2:0] tens, xtens;  F  z0 E  Z3 x% N
  reg [3:0] units, xunits;7 J% j( ?& X, H+ g. H' F
% v' W9 ]1 y0 A: L, B$ h7 k
  always@(posedge clk or posedge rst)
$ q! @$ o* w8 E- X! N1 ?% P  begin
$ _$ l* d1 W% h' d    if(rst)- O- A  t, T, ?; D9 j! l/ ^: ~
    begin: K- C) u/ [& e* K  G
      tens <= 3'b0;
/ w) d5 G0 g* @- p* M      units <= 4'b0;
' W; j* F$ X6 B6 W    end
9 o& m% \/ s" v5 p+ ]1 _  d* S    else
2 _1 j+ Q" r7 v; ]    begin7 P6 I0 S; h( `% o. ?% _7 ~
      tens <= xtens;7 h( z4 _% N$ ?) x
      units <= xunits;
' d; g$ B1 S( r; u# h    end+ D* [" r& Q% J8 H4 q% c
  end" d/ T. K3 ^3 S' `& U
. H$ P$ {8 o1 _' r! |" g2 f
  always@(tens or units), x1 r5 R+ r( C, l5 Y* D: G
  begin
5 ?1 m( I: z) H) V6 A4 r1 u. s    if(units == 4'd9)' U: C; d5 X- U( C$ u$ b9 z: i
    begin
9 k( F( \, F0 B1 B: A      xunits = 4'b0;
: t, A5 y$ V* R8 ^) E      xtens = tens + 1;; k& u" Y- T4 y9 t7 @5 ?
      if(tens > 3'd5), E1 ?% Z- Y, X8 P: N# L  F
        xtens = 3'b0;* X' l7 Q! F$ u* R
      else
8 [# \& B4 {; H        xtens = tens;7 o/ x: b7 w" F
    end; O  v8 x3 |. V- D7 }# b
    else. c. [* T8 D! V/ e% F& U
    begin
( {6 T5 k. G3 g0 Y( x# h0 h      xunits = units + 1;
" H6 D6 Y( P, b, m      xtens = tens;
2 Z* j" H2 ]4 U8 z  S& F# U7 M2 X    end" `; Z3 d4 y9 P' U6 H6 ~2 |9 r! T
  end
/ A  [9 S/ c0 a% M0 r: ?/ a# w# U7 _endmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助7 C8 f1 _5 @1 e, _
感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 + w# |/ y" p+ e8 X

' J7 ^) c, J) g8 w3 p/ |$ C" y6 w- h* k6 l; o
   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話$ ]) x/ B+ h& O* ]: c- p
可以上網找有沒有verilog code參考' N( B9 t% w7 d; G5 L! N
然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!5 b- P2 `2 O# M* I7 }" \
- R+ r/ L' R3 v5 a

( P1 U5 x5 n: P" G: S
( t: a& T9 N2 f& v$ K# [2 L/ k$ S" }6 \+ h- U
; ?6 x- ^3 N4 j' P& l+ n
+ u( z5 _  l8 Y' t3 n7 X* d6 H; ]
& l: p4 V1 C+ |, ?& @& e

  P, c& i+ A: _* m  J9 u* y) ~1 r! Q2 i) ~- [: J" o. E- ?

( ?3 C- C) R9 n$ d& _: [8 S4 k' s$ \/ _% p# e$ n( ]$ N
bjcars.net  G% d1 h) C  B- N4 \9 |
5 e, _  Z0 ]2 D) Z
, h9 K- ?3 {. Q7 X$ _: v8 l

/ B1 `" I  B; c  a7 R4 x+ e) I2 {
" p4 b7 H6 W. m( V
; k. k, B- `7 t" _( V* H  q' x) G: O

7 p4 l/ F# ]  D: W0 G" ?! j' Y, |8 z- Q* f
$ O4 P: }  _' W9 a" Y' Y5 F  m
! r5 G- X1 Q. J9 a, q7 i5 G

+ e7 j7 A  m. h
8 j7 s  ^0 C# d+ g1 B( Q北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2 m4 i/ h* V  k$ P1 X' M
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
8 m7 }& J7 J. {3 r
2 ?; y! X2 N' D感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩
9 d- ?+ C9 o; x# W: m/ v2 C
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧3 h. w; C, J* ]8 h
這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料2 n) i+ u- F3 E, R2 ?9 l3 R! z) z
謝謝大分享的講義
7 u' b- y  T0 {4 z: I) _/ f回覆來看看囉!!
) ?. f8 \3 _$ c' N) S( P感謝$ f% T: T, i, n
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-20 10:05 AM , Processed in 0.117015 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表