Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
123
返回列表 發新帖
樓主: monkeybad
打印 上一主題 下一主題

[問題求助] 請問如何設計BCD counter

  [複製鏈接]
41#
發表於 2012-5-23 18:01:34 | 只看該作者
希望講義有用
% A' i. h6 T3 J/ Q8 f- }感謝大大分享
42#
發表於 2012-6-18 18:27:33 | 只看該作者
我也來寫一個, _9 j# b8 V/ w9 X6 `& N" s
輸入:clk, rst- ~' ~2 I# P3 {, _; h
輸出:tens(十位數), units(個位數); e* _% G; M$ z( p8 ~* m8 @3 v

2 N* A2 z* r$ u& c; ncode :
: O+ L9 Y8 `: b: `; H
0 b2 P7 t, K! S2 ~; jmodule BCD(clk, rst, tens, units);& n" P, h7 A* I
  input clk, rst;
! l$ W( Y8 R8 C0 T8 V3 ~9 P  output [2:0] tens;; Q# U  e& _9 x# p- n/ g' ~( h% |
  output [3:0] units;- m3 c% V" \& z  {  x" y
  reg [2:0] tens, xtens;
& t$ ^- ?' b4 W% |  reg [3:0] units, xunits;
: p, C7 W7 z) z9 r, |- ?0 B7 j$ j* C2 G# I" `
  always@(posedge clk or posedge rst)
5 i. u3 o$ M0 y' L- D  begin
- d0 w$ t4 P5 y3 Q* I0 h: W    if(rst). _2 \, G7 z& L3 ^/ z
    begin5 O" M7 f' n% E! r& |* C* Y: a
      tens <= 3'b0;
7 P( [/ {0 j9 l8 D! J# |      units <= 4'b0;0 \$ O2 @) q7 v( Y, Q* k7 `
    end. F( w) {# @+ J" Z
    else
1 n6 }( b% ?- @  T  N- P3 n    begin
' p" r) t6 ~; b9 K7 H3 }8 v      tens <= xtens;
4 m6 W: E9 b' I- p0 c& L% d& q      units <= xunits;
" [3 T, a% n0 I! Q  c, [    end
& E+ E4 {4 g$ |1 A4 y8 G* ^8 E9 }  end! l3 j. M0 u  K9 M* J

1 p* |! k  g; h# S1 n  always@(tens or units)
- {, g$ i3 D- ]# E, \) C. b5 g  begin0 r5 t" c1 g; y4 w) \- i; E
    if(units == 4'd9)
) [& @  g; f% }# u3 J) ?    begin# I; x* J( n* s. n; x% R% v
      xunits = 4'b0;1 E) O3 f& t2 G5 B$ R# x
      xtens = tens + 1;1 l% [+ u0 J  W% }! b
      if(tens > 3'd5)
& C& ]2 g& A% a6 }) Z4 j* Y3 I        xtens = 3'b0;" u2 Y0 m3 ?+ t, O5 e, ]0 \4 D
      else% B" c: I; [& a, ~
        xtens = tens;9 s, E$ c& p5 x$ k
    end
) f- Y  V- z5 }& [' Y+ c" d    else
9 `" P. i. U# S    begin
+ W8 T2 {$ f+ {. E      xunits = units + 1;4 E6 H5 Q) ~, h: q: a( Q) f1 l
      xtens = tens;
5 Z% a0 Z! W# ]/ G& e" j    end
0 d3 Y4 Y  {. B6 o0 _- Q. }# ^9 {  end
( z) }. r, a/ \- X( B- I9 x: fendmodule
43#
發表於 2013-3-13 11:34:28 | 只看該作者
正好需要這部分的資料 希望能有幫助
  F3 o6 O* `* w) B' I1 e2 [感謝分享!
44#
發表於 2013-3-24 17:51:58 | 只看該作者
回復 3# 小朱仔 3 D0 b# i  v  w6 H4 L/ L0 R
/ j* l& n% t' a8 N5 d9 o# D

. u# ]. d5 K0 E   感謝您的分享,讓小弟多一份資料可以研讀。
45#
發表於 2013-9-11 14:52:45 | 只看該作者
不會verilog的話* f' _8 p/ Y4 T! k; _$ L" r
可以上網找有沒有verilog code參考
. R7 @2 E# t+ @- D然後合成電路後再參考tool畫的電路來畫
46#
發表於 2014-9-4 21:25:58 | 只看該作者
加油啊!!!!顶哦!!!!!' G- H  ]/ b$ E! x2 n

, Z' g- m$ `- i7 x4 W/ B4 ^4 O" n' E1 a$ g! R8 o% ]

/ R4 e! q3 C% \1 k! S7 ]6 O- b* }# S* o! [$ w

& t( S" n( L5 A0 N$ _: C: B# p9 _3 z- G9 ]
$ B2 K; V/ P+ w, A. g2 ?8 k* n

; M& M- v) Z4 U* f; u0 }
7 ^3 v2 I6 p& u4 o" [+ H" s6 u3 |' S9 d- ^5 E3 [
/ W* V7 ^: x# H8 o) ]
bjcars.net
. ~# s; }0 F* S) M  _4 w; h' D4 ^) y& p, ~
/ H, @( N& z+ P5 \
, N; G: r# K/ F. f1 b
3 \. {9 x& E8 G& s( r9 [
. B7 f. ~4 A5 t- [9 x) j$ D( K

2 \/ w1 j! o+ I  M  Q
# e$ g) a2 W& S4 i. n1 X5 O% ?* p+ }. ]+ f/ P/ o. I
5 Z1 k' v+ @$ |1 I9 E8 c

6 d5 H- C' ^3 v$ l$ w1 X( t
; y& _, A0 Q5 Y# X
3 p5 _' h; S) S2 z1 v+ U北京搬家公司电话
47#
發表於 2015-1-11 17:43:09 | 只看該作者
謝謝哦很有幫助~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~( W9 q- E; d; S5 _# L
48#
發表於 2015-3-14 20:20:25 | 只看該作者
菜鳥一個,參考先進们的看看先...
49#
發表於 2015-7-1 17:18:01 | 只看該作者
需要這些資料
2 l3 s$ I4 S3 {  K
* R% g$ x" l- v感謝分享!!!
50#
發表於 2015-9-7 13:16:36 | 只看該作者
非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩非常感恩& h- I( s  i! u
51#
發表於 2015-11-20 18:30:45 | 只看該作者
畫電路比較麻煩吧
2 u. [7 U0 W4 C' a: {) O" @這應該邏輯閘超多
52#
發表於 2016-9-9 07:50:42 | 只看該作者
剛好需要這方面資料
6 F! {4 \1 i  K, L! S% l+ h謝謝大分享的講義
, H4 F2 e2 ~0 N2 \9 S回覆來看看囉!!  j+ e7 g4 W" e7 c6 G$ \7 k
感謝9 S% T  s1 j6 Q
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 07:26 AM , Processed in 0.118515 second(s), 16 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表