Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5094|回復: 4
打印 上一主題 下一主題

[SystemC] systemc中的inout類型,在搭建TOP的時候怎么處理?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-7-12 10:00:14 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式

  z; {0 }: }8 ?求助各位大大....  `! _8 M5 C: E+ O6 S% N
我在做一個cpu agent的驗證,驗證代碼是用systemc和 C編寫的
$ t$ ~* j# l; E在ncverilog下做徬真...因為有inout類型的耑口,在徬真時,會有警告.  l/ f1 |. X- \% d* S. r: O* }
但是這個警告又不能被忽略,大緻意思是:systemc將sc_inout類型耑口當做out類型的., u: v5 j" L. \" s! }
在讀操作中,如果是systemc本身寫的值,而外部寫的值將被忽略....
' e- i* A# l' w) y- }7 W請問,sc_inout這種類型的在搭建TOP時應該做如何的處理??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2007-7-12 16:20:15 | 只看該作者
/ L' k; _# d7 k; G) u9 v

# K* p' e5 k0 ~0 r/ f高人指點一下啦,我試暸一天還是沒有結果...555555 l! x2 W& Q' E
bfm的input接口和verilog寫的 RTL CORE的inout的連接有問題...) H9 r& [- f& G. i6 L
怎么解決嘛..555555555555555555555

評分

參與人數 2Chipcoin +8 收起 理由
heavy91 + 5 加油加油~~希望能找到需要的答案哦
jiming + 3 贊助懸賞啦!重賞之下必有勇夫!?

查看全部評分

3#
 樓主| 發表於 2007-7-13 15:46:19 | 只看該作者
謝謝2位
( x$ e5 Q: d- D9 o7 l, q' _  j
, D" R* F% w: T1 {我自己重新把TOP搭暸一次,因為我的耑口是inout和inout相連,所以比較痲煩., G8 K5 f' O1 M
看暸好多資料.我把所有的 inout分別都簽成2跟綫,一個輸入input,一個輸齣output,還有一個控製信號.一個糢塊一個糢塊慢慢的連..頭都大掉暸..; D# a/ r( p3 q" b: ^

$ E* c; d$ r& U1 X2 |現在纔髮現,top不是想象的那么簡單...
+ X$ }# y  D4 C9 \# p8 R/ W; W時鍾,復位,連綫,oh my god......
4#
發表於 2007-8-22 12:01:40 | 只看該作者

systemc中的inout類型,在搭建TOP的時候怎么處理?

在verilog 中 必需宣告
5 \% N# R$ q: X; l; W+ a
& g& ?+ W3 i4 k" e2 \/ |$ linout [7:0] data_bus;! i6 o; p4 m7 ^, ~  C5 k% D0 k$ D6 h1 J
wire [7:0] data_bus;
9 m& W8 y/ _% W. y) ?reg [7:0] dat_out;0 A* P& w- R( W1 o, p
assign data_bus = (we) ? dat_out : 8'bz;
3 ]7 j5 L# c- a6 P; `
  Q6 O9 y4 F& u) ^" m/ Q當資料寫到外面 時就由we(write enable) 去將dat_out 打開
$ T& f0 n) g& E# _$ x5 t資料讀入時,就可以直接讀取 data_bus資料
5#
發表於 2007-8-22 12:11:21 | 只看該作者
轉貼:
2 k: N& {# _4 u% T小菜门,今天讲一下inout类型端口的建模,和不确定输入的约束!
  s  s* ~" b$ x7 q在VERILOG中的INOUT类型是数据通信中常用的,比如,DATA BUS ,, _* G0 B9 s' Z5 B$ J0 J7 a6 o' [
ADDRESS BUS,这些地方必须用到INOUT类型端口,但是VERILOG中的inout
9 U$ y8 @0 S4 C7 @  L和System C中的sc_inout是有区别的区别在于verilog中的inout就是输出和输入类型7 W$ D- I7 |: z: t2 o2 n2 O4 {
而system c 中的sc_inout不但是输出,输入类型,而且可以单独当做,输出类型,它的输入作用只当作一种访问,就是其它的端口或是信号可以访问,不过在VERILOG中如果安排的好,也可以是这种类型,这样可以边对端口输出,边访问,进行检测,以达到正确输出的效果!- w  L8 d9 p6 t+ h( r1 U
好了,下面开始正题,估计,很多初学者用INOUT肯定是会用的,但是做硬件,你不但要会用这种语言还要了解这种语言是怎么实现的,只有这样才能成为高手,何况,verilog,, O, h- v( f. f. \8 c
system c都是开放源代码的,没事的时候可以读一下的!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-6 10:41 AM , Processed in 0.110006 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表