|
新思科技推出全新Low Power解決方案
新思科技 (Synopsys, Inc.) 最近推出全新的低功耗設計解決方案 – Synopsys EclypseTM Low Power Solution,可針對系統級(system-level)有低功耗需求之晶片設計開發,提供驗證(verification)、實作(implementation)與簽核(sign-off)、智財(IP)、設計方法(methodologies),及設計服務(design services)等支援,可說是當前業界最完整的低功耗解決方案。
7 D; j' m. B7 L9 F* d, ~+ j
/ V5 P% ~' P; e3 @* o$ F2 g在深次微米(deep submicron)的晶片設計過程中,先進的low power design技術如MTCMOS power gating、multi-voltage、dynamic voltage and frequency scaling (DVFS) 等,可以顯著地降低功率耗損(power consumption),但相對地也讓設計工程師面對比以往更耗時且高風險的驗證(verification)與實作(implementation)。而Synopsys 的EclypseTM Low Power Solution包含各種先進的設計技術、方法、標準(standards)等,可有效簡化設計與驗證過程,協助設計者解決所遭遇的各種功率(power)、面積(area)、速度(speed)、良率(yield)等方面的問題,並且降低設計風險,進而提升整體的產能(productivity)。( h, r4 z5 [6 Q7 f6 c9 Z
5 o5 a3 c( \ V! q% M: AEclypseTM Low Power Solution是以Synopsys在低功耗設計超過十年的豐富經驗為基礎,並且包含多項全新的先進技術。例如強化過的clock gating與low power clock-tree synthesis功能,可以在low power設計中達到clock structures 之最佳化處理,並符合嚴格的時程要求。而先進的multi-threshold leakage optimization技術,則可以限制ratio of Vt, options的使用,提供良好的leakage power recovery,降低設計者執行設計時的顧慮。還有自動化的power switch insertion and optimization功能,可以利用IR drop與area constraints等,來有效執行power planning exploration及”what-if” analysis等工作。9 t0 h* [$ S& [, C$ G, ]6 {
! b& o$ U8 [; X0 m$ `EclypseTM Solution支援業界標準UPF (Unified Power Format)語言(language),其中包括MVRC� and VCS� with MVSIM�、Discovery� Verification Platform、Design Compiler�、Power Compiler�、IC Compiler�、DFT MAX�、Formality�、PrimeTime�,Discovery� Verification Platform與 Galaxy� Design Platform中之主要技術,還有Innovator�、HSPICE�、HSIM�、NanoSim�、TetraMAX�、PrimeRail�、DesignWare� IP,以及Synopsys專業諮詢服務(professional Services)等等,都已支援UPF語言(UPF-enabled)。此外,EclypseTM Solution也廣泛支援各種設計方法(methodologies) ,包括由Synopsys與ARM合著的”Low Power Methodology Manual (LPMM)”手冊中所涵蓋的方法。
; B; B& d8 S% Y- o2 u, |5 p I/ P, o0 _' b5 f' o
ARM設計技術(Design Technology)總監John Goodenough指出,我們致力於低功耗電子產品的技術開發,而透過與Synopsys的緊密合作,我們在功耗管理(power management)的技術領先群倫,讓設計者得以援用高效能的IP、設計工具、方法等來達到設計目標,就如同在LPMM手冊中所列舉的許多例證所說明的,將Synopsys的EclypseTM Solution與ARM的physical and processor IP整合使用,可以顯著地降低消費性電子產品設計中的功率消耗(power consumption),大幅提升設計效能。
- e! E2 |3 N7 H6 j1 ^: K5 t- I" i" p$ _0 O
Renesas Technology Corp.設計技術部門(Design Technology Division)總經理Hisaharu Miwa表示,我們在power domains達20個的複雜晶片設計,採用Synopsys的VCS with MVSIM low power verification solution,結果VCS with MVSIM解決方案可持續而有效地辨別出power management bugs,而驗證(verification)時的turnaround time也提升五到十倍左右,這是其他的解決方案所做不到的,現在VCS with MVSIM已納入Eclypse 解決方案中,我們相信透過採用這項新的解決方案,可以獲得Synopsys更多與功耗議題相關(power-aware)的專業協助。% T# h2 F; k2 T3 q
0 L9 J; x6 s* T0 m" J
新思科技Solution Marketing副總裁George Zafiropoulos 指出,EclypseTM Solution可說是目前業界最完整的低功耗解決方案,經過實際的驗證之後,證明它有效地整合了設計工具、IP、方法,與專業諮詢等面向的需求,可有效協助客戶達成高品質的低功耗晶片開發工作。 |
|