Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
樓主: jiming
打印 上一主題 下一主題

[市場探討] 瑞昱採用新思科技Design Compiler解決方案

  [複製鏈接]
66#
發表於 2012-2-24 14:29:27 | 只看該作者
新思科技發表應用於台積電28奈米製程之DesignWare嵌入式記憶體與邏輯庫$ U$ g, m5 p& N' b
先進記憶體及邏輯IP協助設計人員在28奈米製程之SoC優化 使之兼具高效能及低功耗
8 A- n& [6 s! q1 p% M
' z; e# ]' i$ e) P( z. x& D! ](台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)近日推出、針對台積電28奈米HP (high-performance)及HPM (high-performance for mobile)製程技術所開發的DesignWare®嵌入式記憶體(Embedded Memories)和邏輯程式庫(Logic Library)IP,該解決方案提供高效能、低漏電及有效電力(active power),讓設計人員透過速度和電源效率的提升,以達成整體SoC設計的最佳化。就行動裝置應用而言,速度和電源效率之間的平衡是格外重要的,結合DesignWare STAR Memory System® 的嵌入式測試及修復技術,新思科技的嵌入式記憶體和標準元件庫提供設計人員先進且全面性的IP解決方案,使其在減少測試及生產成本下,開發出高效能低功耗的28奈米SoC 。6 @# Z+ X) w' \- b6 e0 }) `" H4 `

2 @4 `2 p4 [' n2 d' h# JAMD記憶體設計部資深經理Spencer Gold表示:「身為行動運算裝置處理器及繪圖裝置的廠商,我們仰賴新思科技高品質且經驗證的IP以提高產品效能並滿足嚴格的功耗要求。我們成功地運用DesignWare嵌入式記憶體完成65、55及40奈米的晶片開發,近期更將它運用在28奈米的製程節點上。利用新思IP中的先進功耗管理模式,我們得以在不影響效能下大幅降低功耗。」% v+ G1 @5 R! p5 y% u5 h
2 g3 [6 K2 {: A5 d6 L9 [
Movidius IC開發部總監Brendan Barry表示:「Movidius為行動及消費產品提供高畫質影像解決方案,而我們相信要達到行動多媒體處理器SoC的高效能低功耗必須透過先進的技術。每瓦特(watt)效能的優化悠關著行動3D等應用是否得以成功,而DesignWare邏輯庫能有效進行合成(synthesize)步驟,加速我們處理重要時序路徑(timing paths)關閉以及透過多通道邏輯元件(multi-channel cell)偵測漏電狀況。此外,DesignWare嵌入式記憶體獨特的功耗管理功能,除可大幅實現節能還滿足我們的效能目標。比如說,其輕度休眠模式(Light Sleep mode)能將記憶體漏電的情況降低一半。」
65#
發表於 2011-12-14 14:01:47 | 只看該作者
創意電子使用新思科技Galaxy 實作方法論,克服了為達到上述工作頻率與功耗水準所衍生的設計挑戰,其中包括:! N: `7 C1 Q+ O. [* ]: V
: a* Z2 r) |% A2 Y2 a
• 高效能設計深受記憶體擺設位置的影響,常使得記憶體與處理器之間所需的資料存取時間很難達到預期頻率
5 o! L. l7 X" h# B( A' N" }• 為達到較佳頻率與可繞線度(routability),使用暫存器庫(register bank)時必須仰賴結構性置放技術的支援
! E" }4 \5 `8 P' W) v% U& v• 當晶片使用率超過80%時,必須從一開始就考量時序(timing)與繞線壅塞(congestion),並整合設計綜合(synthesis)到佈局與佈線(place and route)所有步驟  @; x, c" G: N+ I' u
• 時脈偏離(skew)與延遲(latency)仰賴良好的時脈分配網絡& b( ^8 ?7 s# T3 ^& P' \
9 ~2 q7 J& _: z0 V
創意電子的Galaxy 實作流程重點包括:. N# m" u2 R3 l% \
8 m! B4 g$ F1 v& b
• 透過 Design Compiler 拓樸繪圖技術為IC Compiler 實體實作(physical implementation)創造較佳的初始網表(netlist)( u8 e2 U: t1 U5 o# N; V
• 利用 IC Compiler 之邏輯閘放置設計規劃技術及實體資料路徑技術,達成最佳的暫存器庫置放
4 I4 L* l9 |* P( `# p• 使用 PrimeTime®達成實作與靜態時序分析 (static timing analysis)間的緊密關聯性,以達到高效能、低功耗及符合預期的結果) n! B1 \0 A2 U" Z  [! V) V

: F7 n0 {; M2 c/ Z8 W新思科技設計實作事業群資深副總裁暨總經理Antun Domic 表示:「就高效能處理器設計而言,新思科技的IC Compiler 是業界廣為認可的使用工具。我們持續不斷的精進優化以提供高工作頻率、同時消耗最低功耗的技術。此次與創意電子的合作,在投片過程中成功地達到超過1 GHz 頻率的效能,顯見我們的技術帶來致勝的結果。」
64#
發表於 2011-12-14 14:00:53 | 只看該作者

創意電子應用IC Compiler 於高效能處理器之設計實作

運用新思科技 IC Compiler 創意電子讓ARM Cortex-A9 處理器達到1 GHz 頻率的效能% D" n  k# B/ v" B7 w# R( y
* y- C% _# x  F+ Q, i6 @* L
(2011 年12 月14 日,台北訊) 全球半導體設計製造軟體暨IP 領導廠商新思科技(Synopsys) 與彈性客製化IC 領導廠商創意電子(Global Unichip Corp., GUC)今日宣布,創意電子採用新思科技Galaxy™實作平台(Implementation Platform)中的關鍵工具IC Compiler,讓ARM® Cortex™-A9 MPCore™雙核心處理器達到超過1 GHz 頻率效能。新思科技的高效能Galaxy 設計實作解決方案,能以最低功耗達到超過1 GHz 頻率的效能,同時降低設計時程的風險。. S9 ^5 x( x: q8 F; b

+ y1 ]3 j- J0 S& S創意電子總經理賴俊豪表示:「身為彈性客製化IC 領導廠商,我們服務的客戶需要面對競爭激烈的智慧電子產品市場。對客戶而言,效能、功耗和上市時程是勝出的關鍵。而利用新思科技的先進工具技術,結合我們在先進製程和低功耗設計上的專業能力,得以強化我們的服務並滿足客戶需求。」* L6 I! v3 ~# W( g
3 G/ i; i6 E; f9 o& B2 D$ Z5 |) d
創意電子設計服務處處長李宏俊表示:「在設計高階處理器時,我們在提高操作頻率上,曾面臨到許多挑戰,而促使我們採用IC Compiler。搭配新思科技Design Compiler® 的拓樸繪圖技術(topographical),IC Compiler 的快速設計收斂功能可協助我們達成目標頻率並及時投片。在40 奈米及28 奈米高階處理器實體化上,我們已經統一採行IC Compiler 解決方案。」
; u/ f; V" n4 Y' I8 T, B- r5 }9 o4 f5 J
即將應用於高階數位電視晶片的雙核心、500 萬邏輯閘的ARM Cortex-A9 處理器,是採用台積電40 奈米低功耗製程,在未使用超電壓(overdrive voltage)的情況下,變異最大製程條件(worst process corner)的操作頻率仍可達到1 GHz,而一般製程條件則可達1.3 GHz。
63#
發表於 2011-7-20 09:16:49 | 只看該作者

新思科技推出新一代虛擬原型設計解決方案 Virtualizer

全球領先的半導體設計、驗證與製造軟體及智慧財產權 (IP) 領導者新思科技公司 (Synopsys, Inc.) 今天宣佈,作為新一代虛擬原型設計解決方案的組成部分新思科技的 Virtualizer 工具套裝現已推出。Virtualizer 通過使公司能加速開發虛擬設計原型並將這些設計原型加快部署至整個設計鏈的軟體隊伍來解決與軟體富半導體與電子產品相關的日益增長的開發挑戰難題。利用 Virtualizer 創造的設計原型使工程師能加速軟體發展期至9個月,並較傳統方法實現5倍的生產力提升,旨在團隊進行軟體發展、軟/硬體整合、片上系統 (system-on-chip, SoC) 確認和系統驗證。
/ |* P, P: s/ r3 G
7 ~  }! O* t- A2 ]VDC Research 嵌入式軟體與工具實踐部門負責人 Steve Balacco 表示:「隨著設計複雜性的增加和軟體內容需要滿足智慧設備的要求,各家公司需要降低嵌入式軟體專案延遲的風險並提高開發者生產力。新思科技提供了一種原型設計解決方案,直接解決了調試問題並滿足了半導體與電子產品公司嵌入式軟體發展者的分析需求,同時縮小了與硬體開發過程的差距。」 ; N' r+ F. }! _0 w0 T6 G- i; w- M2 D
. e5 ^2 k, H; z; ~# U
Virtualizer 採用了新思科技收購 Virtio、VaST 和 CoWare 而獲得的各項成功技術,以及在為50多家領先的半導體與電子系統公司進行部署工作方面的專長。對於設計虛擬原型的開發者而言,Virtualizer 的圖解設計入門、軟體調試和分析內容加上新思科技在系統模型方面的廣泛產品組合可以實現在更快的時間內進行原型設計。對於那些利用其系統虛擬原型進行設計、整合和驗證軟體的軟體工程師而言,Virtualizer Development Kits (VDKs) 提供了一種經濟型開發平臺,能夠以幾乎即時的速度執行未更改的產品代碼。VDKs 提供了快速而精確的虛擬原型設計模擬,並融合了無與倫比的多核心軟體調試與分析性能、同步軟/硬體分析和利用協力廠商軟體調試器和整合式開發環境 (integrated development environments, IDEs) 的同步調試功能。基於開放式標準化的 Virtualizer 支援 OSCI TLM-2.0 和 SystemC□ 等關鍵行業標準並可以在 Windows 和 Linux 作業系統上運行。
1 l* d: h9 B4 |( P3 Y  K0 q! G5 E
Lauterbach 全球銷售與行銷經理 Norbert Weis 則表示:「部署虛擬原型的各家公司需要與現有軟體發展工具進行輕鬆整合。Lauterbach 的 TRACE32□ 與新思科技的 TRACE32□ 整合使開發團隊能以更加多產方法較早的開始軟體發展,以及將這些好處由半導體公司擴大至電子系統公司。」
62#
發表於 2011-6-9 16:24:49 | 只看該作者
葉瑞斌則強調,今年適逢台灣新思科技成立二十周年,我們一直致力協助台灣IC設計產業的發展與技術升級,很榮幸在慶祝二十周年的前夕能獲得國家晶片系統設計中心的肯定,未來我們將持續扮演「策略夥伴」的角色,努力協助在地的合作夥伴與產官學研各界,共同為台灣的半導體產業發展盡一份心力。
  S% s+ T1 ]/ G
) I3 i0 O3 Y& S& p( O葉瑞斌表示,新思科技配合政府產業發展的政策,自民國93年起即在台灣成立研發中心,至今累計投入新台幣14億元經費,培育出150多位研發人才,不僅實際投資台灣,提供就業機會,培育半導體設計人才,並推動多項與大學校院合作研究案等產學交流,有效提升半導體設計軟體的研發能量,強化台灣在半導體國際市場的競爭力。
6 \% T( ~, \; M9 |" y- b; m
( x4 X  W5 f- U$ z2 X* X3 j5 r新思科技的「台灣研發中心」除了與國家晶片系統設計中心的合作外,還包括與工研院系統晶片科技中心合作開發先進製程低功耗設計; 與國內業者共同開發45奈米先進製程驗證解決方案; 贊助大學教授暑期赴美進修研究,參與Synopsys先進技術研究計畫; 並與教育部顧問室DAT聯盟合作,提供暑期工讀名額給國內大學相關系所,讓學生實際應用EDA設計軟體,增進晶片設計的學習與經驗等等項目。而由於執行成效卓著,經濟部也於民國99年11月頒發「研發創新夥伴獎 (R&D Innovation Partner Award)」,表揚新思科技對促進台灣電子與資訊產業發展的貢獻。
61#
發表於 2011-6-9 16:24:44 | 只看該作者
新思科技(Synopsys)獲國家晶片系統設計中心表揚: w* j( l" i1 N
肯定新思對台灣半導體產業發展的卓越貢獻  , \2 s8 `% P. v0 F) o- b, c

) I: ^- E4 P' }8 D/ q(台北訊) 新思科技(Synopsys Inc.)近日獲國家實驗研究院國家晶片系統設計中心頒發感謝狀,以表揚新思科技持續協助國家晶片系統設計中心取得先進設計軟體技術、培育晶片設計人才,以及促進前瞻製程設計技術之研發,對台灣半導體產業發展具有卓越貢獻。  O2 T! d8 O1 k

& ]( q. \1 l9 t0 i7 {. b這項頒獎儀式於6月9日在國家晶片系統設計中心舉行,由國家晶片系統設計中心主任闕志達頒發感謝狀給台灣新思科技董事總經理葉瑞斌。闕志達表示,國研院晶片中心工作重點之一,為協助國內學術界建立晶片與系統的設計與實作環境,並配合產業發展需求,提升晶片系統設計前瞻技術,我們很高興在整體的發展過程中,來自美國的新思科技能夠扮演重要的合作夥伴,並成立研發中心引進創新技術,與台灣半導體產業共同成長。
; u, v6 C, u8 V; x' y
, k, ?( J% `) }# S4 D7 e1 E闕志達指出,為了配合學術研究需要及產業未來發展,國研院晶片中心持續引進業界廣泛使用的晶片與系統設計的電腦輔助設計軟體,提供學校申請使用,而為培訓晶片及系統設計人才,晶片中心也開辦訓練課程供學界與業界人士進修,很感謝新思科技持續協助本中心取得先進的設計軟體技術,規劃並開辦相關訓練課程,並積極參與中心所舉辦的設計競賽等,有效提升本地的學術界晶片設計環境,培育先進晶片設計人才。
60#
發表於 2011-4-7 15:59:48 | 只看該作者
意法半導體CAD及設計解決方案部門之數位解決方案暨先導專案組副理Giancarlo Sada表示:「提高設計開發初期的生產力可大幅加速我們的IC實作流程。我們在不同開發階段中的多個設計裡頭執行DC Explorer,獲致至少4倍速的執行時間以及與DC Ultra達成百分之十的相關性,而這將讓我們的設計人員能在設計流程初期,就能有效地進行各式實作方式的評估、調整設計資料,以及建立高度收斂及快速的設計流程(design flow)。」 5 K$ a8 b! |8 r0 U+ o

4 s2 ~2 @! e1 o) g; j: u6 L* p8 {+ P$ D在當今大型複雜IC 的RTL設計開發早期階段中,設計資料往往來自各個不同的來源。而設計人員缺乏一個快速且有效的方式,進行資料的探究改善、修正設計問題,和建立一個可以達到高度收斂實作流程的較佳RTL合成起始點。DC Explorer提供設計人員所需的RTL探究能力,協助他們在進行實作前有效識別潛在的設計改善空間及問題所在。除此之外,當手邊的RTL輸入、限制條件及程式庫(library)模型不完整時,DC Explorer可針對所欠缺及需要修正的內容產生一個整體性報告,如此可加速設計的過程。而由於和Design Compiler® RTL合成的各個不同程序腳本(script)相容,因此DC Explorer非常容易使用及部署於現有客戶的設計流程中。  
6 }, Q& h7 O8 ^* J; X0 n0 f8 D% D+ e  I: ]/ S) e1 u' q3 ^9 X
新思科技資深副總裁暨實作事業群總經理Antun Domic表示:「新思科技致力於協助客戶改善其生產力並縮短其複雜之系統晶片(sy胡皓婷 <olivia.hu@digitimes.com>stem-on-chip)的設計時程上。DC Explorer可有效協助IC設計人員提升生產力,讓他們能在設計流程的最初期執行RTL探究、改善設計資料的品質,並且大幅加速作業流程。」
59#
發表於 2011-4-7 15:59:39 | 只看該作者
新思科技發表應用於設計初期RTL探索與評估之解決方案: ]0 M8 O0 }& x/ {1 H+ R" \* c: N" ~
DC Explorer在資料不全的情況下也能有效加速設計實作, ^2 k5 k/ K7 i3 W5 E

) g; O2 W2 a5 S! ^$ U(2011年4月7日,台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)今日發表Galaxy™實作(implementation)平台的最新技術 - DC Explorer,可協助設計業者大幅加速高品質設計資料(design data)的開發。當前的設計通常需要大規模整合具有數百萬個設計元件(instance)、速度達十億級(gigascale),而且開發時程又非常緊迫,所以設計人員需要一個能讓他們快速有效執行各式設計配置(configuration)分析(甚至是在設計資料完成之前),以及建立一套最佳的實作流程及RTL探索與評估(exploration)之解決方案。
: d( o$ T: Y% k' O" c' P9 V
: c2 b" n% r" `" ^* H藉由提供5倍速的執行時間(runtime)以及與DC Ultra™ RTL合成(synthesis)達成百分之十的時序(timing)與面積(area)關聯性,DC Explorer可處理上述所提及的挑戰,同時它還能在資料不完整的情況下執行,因此可以被用於設計流程的最初期,以便管理高品質RTL的開發和限制條件 (constraint),進而協助設計流程的收斂(convergent)。
58#
發表於 2011-3-29 10:29:17 | 只看該作者
工研院電光所副所長高明哲博士表示,由於汽車及能源配置等領域對於節能增效的電源開關之需求提升,使得碳化矽元件的市場發展蓬勃,而新思科技TCAD Sentaurus軟體可協助我們利用極務實的方式,進行元件電熱效能的模擬,而這樣的功能不但有助於我們了解新開發元件的性質,同時我們也利用它來達成元件特性的最佳化以滿足市場需求。3 P0 A. E; x7 V2 g
1 C8 U0 @3 h2 B  R; f" j
  TCAD Sentaurus系列產品包含了探究和優化矽晶(silicon)及化合物半導體(compound semiconductor)技術所需使用到的2D和3D製程以及元件模擬工具,該工具可執行針對碳化矽模擬的模型。
5 y9 ]  q$ j3 o! {
! i6 J  _& C4 }2 ?) R8 g( ~  新思科技資深副總裁暨矽晶工程事業群總經理柯復華則表示:「電子產品應用日益複雜,新元件架構及材料也必須不斷地創新,而功率元件(power devices)就整體半導體市場而言是發展相當快速的領域,藉由支援新元件的設計及達成最佳化,TCAD模擬技術可協助加速碳化矽的商用佈署。身為半導體研發的領導者,工研院對於新思科技產品的採用不啻肯定了新思TCAD模擬工具為碳化矽元件開發所帶來的價值。」
57#
發表於 2011-3-29 10:29:07 | 只看該作者
工研院採用新思科技TCAD Sentaurus軟體於碳化矽技術的開發$ z5 I4 ?$ m% ~1 C- o6 T
該軟體之先進元件模擬(simulation)功能支援最新碳化矽元件的開發 / @8 D6 l7 \$ m- V! C# P& R7 M! u
2 n0 T2 k# p) O$ D; J2 \- k
(台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)近日宣布,工業技術研究院(ITRI)已採用其TCAD SentaurusTM模擬軟體以支援其在碳化矽(silicon carbide,SiC)半導體元件的研究開發。TCAD Sentaurus具備有精確的建模(modeling)技術,讓工研院得以藉由此技術對元件本身之電熱物理性質進行詳盡的模擬,以加速其碳化矽功率元件(power device)的開發。7 p0 ~+ y( {- [( m$ y( \

/ N' J0 s7 @) B& v  碳化矽乃一種寬能隙(wide bandgap)的半導體,其卓越的電流電壓及高導熱特性適用於功率元件領域。過去十年來,碳化矽蕭特基二極體(SiC Schottky barrier diode)已廣泛為業界所利用,而針對油電混合車、智慧電網(smart grid)及其他創新電力裝置應用之新一代碳化矽元件的開發也正積極展開中。工研院目前正著手於各式功率元件的開發,以因應日漸提升的電氣汽車及太陽能電池陣列的市場需求。) l" k5 `& ?* T0 K; m
- L# o! y& Z7 }, p
  
56#
發表於 2011-3-21 17:55:52 | 只看該作者
賽靈思全球行銷暨事業開發部資深副總裁Vincent Ratford表示:「FPMM將成為ASIC開發人員與原型建造工程師的一項寶貴資源,因為它是業界首度嘗試將相關挑戰與解決方案的資訊集結成冊,以協助設計人員成功地在FPGA硬體上進行ASIC設計的原型建造。由於具備高邏輯容量(logic capacity),賽靈思的Virtex® FPGA元件已被大量運用在ASIC原型建造上,而我們相信隨著具有高達200萬個邏輯單元(logic element)元件的28奈米Virtex-7系列的出貨,這股趨勢將會一直持續下去。」
+ F. b8 y1 q* V% I. B$ J
+ ]) F  X/ S+ U, |  新思科技行銷暨策略開發事業群資深副總裁John Chilton表示:「長久以來新思科技所發行的設計方法手冊已廣為各界設計人員採用,以協助其提高生產力。新思科技與賽靈思的通力合作,加上業界領導大廠在原型建造方面的貢獻,讓FPMM得以收錄許多最佳實作案例。本手冊將協助其他用戶吸取相關經驗,並加快系統驗證流程。」  
) s. m6 _1 }- w( \, S5 C# u) ]$ R3 m) m! V% U
關於手冊與發行時程4 E/ Q* z* _5 L+ z: X( V0 n
; L/ ^1 J  X; p
  FPMM計有15篇詳盡章節以及2篇附錄,裏頭皆涵蓋許多實際範例。此手冊的章節順序安排乃依照FPGA原型建造過程所面臨到的工作內容與相關決策,而各個章節內容也可獨立分開使用,因此該手冊相當適合作為參考工具書。
! w0 g( O5 s* o/ b! d' n# y( ~4 [; f; Z
  欲獲得更多有關FPMM 的相關資訊(包括如何在亞馬遜網站上購買紙本手冊,或如何從線上下載免費的電子書版本),請瀏覽FPMM網站:http://www.synopsys.com/fpmm。另外,如欲獲取其它由新思科技所出版的方法手冊和教育刊物,請參考下列網址:http://www.spynopsys.com/synopsyspress
55#
發表於 2011-3-21 17:55:33 | 只看該作者
新思科技與賽靈思合作推出業界首部針對以FPGA原型建造為主之SoC設計方法手冊 手冊詳載原型建造設計的最佳實作
. r3 M. t- x' q( \$ g# S4 x4 v  P) ^4 t3 P
(台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)與全球可編程邏輯解決方案的領導廠商賽靈思公司(Xilinx)宣布,共同推出FPGA原型建造方法手冊 (FPGA-Based Prototyping Methodology Manual,FPMM),該實用指南介紹如何利用FPGA平台進行SoC的開發。FPMM手冊也收錄全球眾多設計團隊在設計與驗證方面的寶貴經驗;這些公司包括BBC Research & Development、Design of System on Silicon, S.A.(DS2)、飛思卡爾(Freescale)、艾薩(LSI)、NVIDIA 公司、意法半導體(STMicroelectronics)以及德州儀器(TI),而它們都已成功運用FPGA原型建造平台,加速複雜的ASIC與SoC的設計開發。
! V' R; A1 F8 Y# \0 E6 ], \( J9 Q. D* o3 S6 I6 [) W! G% r
  FPGA原型建造方法手冊涵蓋FPGA原型建造的各個層面,包括瞭解原型建造的挑戰與優勢、在FPGA平台上進行SoC設計,以及在軟體與系統驗證方面的應用。新思科技與賽靈思希望能透過FPMM手冊促成FPGA原型建造的線上互動社群,讓從事原型建造的設計人員可以在該平台上,提出所遇到的挑戰並互相交流最好的解決方案; 該社群網址為: http://www.synopsys.com/fpmm, U$ U" Q: t4 }' F: @  v9 b
9 W2 I; N2 Z- _/ u7 s3 P
  FPMM的作者包括賽靈思的Austin Lesea及新思科技的Doug Amos與René Richter,都是精通FPGA技術並擅長運用FPGA進行原型建造設計的專家。作者們體認到SoC大多是針對ASIC技術建置而設計,因此當建置在一個或多個FPGA元件中時,相關的挑戰便會應運而生。因此,他們合力推出這本參考指南,除了可協助首次接觸原型建造的設計人員外,也能幫助已有相關經驗的設計團隊與專案負責人。而 除了提供各種原型建造選項(包括透過建立客製化機板的虛擬原型建造到購買完整的原型建造系統),FPMM還規劃出一套名為「原型建造設計」(Design-for-Prototyping)的方法論。該設計方法將FPGA原型建造無縫地整合到ASIC/SoC專案中,使設計人員更易於進行設計的建置,並能以最快的速度將產品提供給終端用戶。此種方式透過串聯系統層級(system-level)工具達成生產效能的提升,比如說用於軟體開發早期階段以及在專案後期軟、硬體初次整合的關鍵階段,所使用的虛擬原型建造工具便是一例。
* c" W6 J5 a- L6 r+ C' k
- h! H$ S% T/ X  s6 V' B
+ o& R+ A9 y7 w# J
, R& M/ p& F9 L- S  
54#
發表於 2011-2-18 11:53:10 | 只看該作者
驗證 FastForward 移轉計畫係自 2009 年起進行前導試驗,在此期間已有眾多的驗證小組移轉至VCS,大幅提升其驗證有效性及生產力。而這些小組橫跨各種不同的市場區隔、公司規模、地理位置,工作範圍則涵蓋多元的設計規模、驗證方法及技術節點等。 ) f% X# d( ~& j! ]
; ?4 D4 c) k6 _4 r
VCS 支援 VMM、OVM 2.1.1 及 UVM 1.01 n/ `3 X/ o, L: _/ p

8 W, }' E5 w9 k# t: q, @5 d      新思科技同時也宣布,VCS 支援即將推出的UVM 1.0 方法規格(methodology)。此方法結合了對 VMM 與 OVM 2.1.1 的支援,可為VCS 使用者提供當前業界最廣泛、最成熟的SystemVerilog 支援。  
4 d  @. A! Z) k$ N2 W1 {, T7 A! b$ d' p4 u
      「AMD 自2008年就已開始使用支援OVM規格的VCS解決方案。」AMD資深研究員Warren Stapleton表示:「VCS對於支援SystemVerilog 實作設計環境的技術相當成熟,我們對於使用包含VCS之OVM架構的決定感到非常滿意,因為我們已看到生產力的提升。而現在UVM已成為Accellera組織的標準,我們期待移轉至UVM規格之後,VCS能協助我們享有相同的設計優勢。」
& D6 ?1 Y) t2 e
' e. e3 v  O4 \" f  x      新思科技驗證事業群資深副總裁暨總經理Manoj Gandhi則表示,我們很認同Accellera組織近來對整合設計驗證標準的努力,使業界對於推動SystemVerilog設計語言更趨於一致,而隨著驗證挑戰越趨嚴峻,我們仍將專注於投資業界領先的 SystemVerilog 技術,以期能在效能、偵錯、覆蓋率收斂及驗證IP等方面,都發展出更先進而創新的技術。 2 w4 K4 g. r2 H8 W# T) ?1 ]
9 H: r2 c0 X( G( g9 N( E$ U1 |
關於 VCS, J" U; h, v8 @4 l# ^/ t5 Z
7 _1 {# R  Y# {, ~9 h9 y. h8 j
      根據新思科技收集的先進設計資料顯示,目前有90% 的 32nm(及更先進的節點)設計及 60% 的 45nm 設計皆是以 VCS 進行驗證。全球前二十大的半導體公司大多以VCS作為主要的驗證解決方案,包括高效能的模擬引擎、約束條件解算器引擎、原生測試台、廣泛的 SystemVerilog 支援、驗證規畫、覆蓋率分析與收斂,以及整合式偵錯環境等。
53#
發表於 2011-2-18 11:52:45 | 只看該作者
新思科技之VCS解決方案可支援 OVM 與 UVM 規格使用Cadence Incisive 及 Mentor Graphics Questa 之用戶可順利移轉至更迅速的驗證方式 , l0 X6 Y& U& O2 J9 u  i! O

5 [) }+ O$ |* x* V(2011年2月18日,台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)近日宣布其驗證 FastForward計畫,此計畫可協助 Cadence® Incisive® 及 Mentor Graphics® Questa® 使用者移轉至 VCS® 功能性驗證解決方案,並受益於VCS®優異的技術,包括:創新的高效能引擎與可同時支援VMM、OVM 和 UVM™等三種不同方法規格(methodologies)的 SystemVerilog 技術,以及強大的約束條件解算器(Constraints Solver)、全新的覆蓋率收斂 (Coverage Closure) 技術、低功耗功能、及驗證IP產品組合。使用者可藉由結合驗證 FastForward 計畫及 VCS 最新技術,達成高達2倍速的驗證收斂(Verification Closure)。
+ \1 k2 p0 l- J. ^  s+ Y2 s. P  ~' I1 l0 k  q# O0 a
      「設計的複雜性因網路安全性之要求而與日俱增,所以需要有高效能、有效率及具擴充性的驗證解決方案,而這種需求在面對越來越沉重的上市壓力時更是特別明顯。」Palo Alto Networks資訊硬體工程處長Barun Kar表示:「我們從原來使用工具移轉至 VCS 的原因,在於VCS具有超越其他解決方案的強大效能優勢,且經過設計實證,能在我們從事高階 FPGA 與 ASIC 為的大型設計時,完全符合我們的需求。」 4 J* Z0 Q( P. s8 ^5 e$ k$ H# n& B
* R' _% h' ?% g( F$ S+ s
      「我們於2009年將原本的驗證環境移轉至VCS解決方案。」Acme Packet的首席驗證工程師Rich Schofield表示:「我們的硬體平台 Net-Net 產品組合是採用客製化的高速通信 IC,因此需要配備健全SystemVerilog支援的高效能驗證環境,經評估數間廠商的產品後,我們選擇了 Synopsys的VCS解決方案。」
4 S% k  B7 ~" p  a( C, f; k# t( t  j/ [2 b8 g% ?, x  l( M/ [0 v
Synopsys的驗證 FastForward 計畫
  \2 U; P, g: w
4 p& M9 N4 b- E) M) u; f$ K      Synopsys的驗證 FastForward 計畫包括技術服務、訓練及專業驗證支援。透過這項計晝,使用者可獲得的服務包括: 協助從 OVM 移轉成 UVM 測試台(testbench migration)、移轉指令碼(migration of scripts)、驗證 IP 與迴歸環境(regression environment),以及有效部署 VCS 與 UVM 方法的訓練。
52#
發表於 2011-1-24 14:32:44 | 只看該作者
新思科技設計分析暨簽核(sign-off)副總裁Ahsan Bootehsaz表示: 「我們的客戶一方面面臨開發複雜晶片的需求,另一方面又必須縮短設計時程以維持市場競爭力。因此,我們致力開發Formality產品之創新技術,以協助包括新唐科技在內的各個客戶,為其複雜且高效能的晶片設計,提供最快速的設計回復時間(turn-around time)。」  
4 \, w# _- C# n, [! {! r1 o* s# z& F& ?( X( k9 \" u3 l# U0 Z
關於新唐科技 & v" l6 c5 |; v% c+ h, T

0 _% _+ x6 m* |* {新唐科技股份有限公司成立於 2008 年,同年 7 月受讓分割華邦電子邏輯 IC 事業單位正式展開營運,並於2010年在台灣證券交易所正式上市掛牌。新唐科技專注於邏輯 IC 產品之研發、設計及銷售,在消費性語音 IC 及電腦相關應用 IC 之市佔率皆具全球領先地位;此外,新唐科技擁有一座專攻特殊利基型製程之 6 吋晶圓廠,除負責生產自有 IC 產品外,另提供部份產能作為晶圓代工服務。新唐科技以靈活之創新技術能力、完整之產品解決方案及卓越之技術綜效整合,提供客戶優質性價比之產品,在既有之深厚基礎上提供客戶更佳服務,並以「成為產業領導者不可或缺的夥伴」為公司願景。新唐科技重視與客戶及合作夥伴的長期關係,在美國、中國大陸、以色列等地均設有據點,強化地區性客戶支援服務與全球運籌管理。如需更進一步了解新唐科技,請參訪公司網站 www.nuvoton.com
51#
發表於 2011-1-24 14:32:35 | 只看該作者

新思科技為新唐科技提供2倍速等效性檢測

Formality較既有解決方案提供更佳效能優勢進而獲得全面採用 $ `1 o: a; P" k3 @5 D. {8 L

: f" b+ B6 `( C5 Y. X5 Z3 R(台北訊) 全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)今日宣佈,華邦電子關係企業─ 新唐科技(4919TT)採用新思科技Formality® 等效性檢測(equivalence checking)工具以取代既有解決方案,以加速其Super I/O晶片的驗證流程。藉由Formality產品的優異性能,新唐科技設計人員得以較先前兩倍速的效率來完成等效性檢測,並滿足其設計時程目標,而如此的成果已讓新唐科技決定大規模佈署Formality解決方案。    $ h5 J" s' @! j4 l1 W

) B7 F7 F3 H( h1 d% m& c/ y7 F4 U新唐科技協理張俊明表示:「上市時程(Time to market)對我們的業務發展非常重要,Formality提供兩倍速效率以強化我們的等效性檢測過程,協助我們達成重要的投片(tapeout)時程。我們將Formality運用於DC UltraTM合成(synthesis)流程中,該工具簡單易學、容易上手,未來我們的設計都將採用Formality這項產品。」 * [$ `( m3 |& Z! L; w

% F0 @0 Q! g4 H7 B* }0 B整個晶片設計流程都需要執行等效性檢測,倘若未能有效達成檢測,則通常需要耗費數週的多重反覆驗證(multiple iteration)才能解決問題。為了加速驗證過程,Formality可與DC Ultra RTL合成(synthesis)充分整合運作,讓使用者無須手動建立複雜的安裝檔案(setup file),並消除錯誤驗證的風險。5 ]5 a3 k$ O; S

2 O: V8 b. ]) C, _此外,Formality中的新增技術用以分析設計環節中的各項錯誤並提供步驟式的建議引導,以協助使用者快速鎖定並解決問題,節省數小時的手動除錯時間。Formality亦採直覺式流程化的使用者介面,並在整個驗證過程中提供導引指示,以強化設計人員的生產力並加速成功驗證的時程。
50#
發表於 2010-11-29 14:23:39 | 只看該作者
負責產業推動的經濟部工業局也指出,半導體產業是台灣經濟發展重要的一環,我們很高興見到在台灣半導體產業的發展過程中,來自美國的新思科技能夠扮演重要的合作夥伴,成立研發中心引進創新技術,與台灣半導體產業共同成長。  
- h& z4 ^# ]4 X9 Z
* g# c; Q+ N6 x( |# ]9 Y台灣新思科技董事總經理葉瑞斌則表示,新思科技配合政府產業發展的政策,自民國93年起即在台灣成立研發中心,至今已累計投入新台幣14億元經費,以實際的行動投資台灣,導入65與45奈米製程的先進設計軟體技術,協助台灣半導體設計技術的升級,並與國內產學界密切合作,從事先進設計解決方案的研究,培育半導體設計軟體人才。5 i9 L# Z" c3 E+ n# t7 W
7 A; n- E+ E( B& [0 v
葉瑞斌強調,面對快速變動的全球經濟發展環境,新思科技仍將持續投注於技術的創新與研發,而我們也將持續與本地的客戶保持密切合作,除了提供先進的技術之外,並加強協助客戶有效整合資源,共同創造產業發展的契機。 ! H% H% U9 s( M" E. y
6 c  [8 L6 K$ i7 b; }% z
新思科技的「台灣研發中心」目前與產學界的合作計畫包括:與工研院系統晶片科技中心合作開發先進製程低功耗設計; 與國內業者共同開發45奈米先進製程驗證解決方案; 贊助大學教授暑期赴美進修研究,參與Synopsys先進技術研究計畫; 與國家晶片中心合作規劃推出短期設計課程; 並與教育部顧問室DAT聯盟合作,提供暑期工讀名額給國內大學相關系所,讓學生實際應用EDA設計軟體,增進晶片設計的學習與經驗等項目。
49#
發表於 2010-11-29 14:23:33 | 只看該作者
新思科技(Synopsys)獲頒經濟部「研發創新夥伴獎」表揚新思對促進台灣電子資訊產業發展之卓越貢獻   
  ^+ h3 W9 J2 K/ V8 [$ L! S5 r4 j) d7 ]: K6 h# G
(2010年11月29日,台北訊) 新思科技(Synopsys Inc.)近日獲經濟部 (Ministry of Economic Affairs)頒發「研發創新夥伴獎(R&D Innovation Partner Award)」,以表揚新思科技在台灣成立研發中心,對促進台灣的電子與資訊產業發展具有卓越貢獻。新思科技是本年度唯一獲頒此榮譽的半導體外商公司。
9 j* V1 {4 k- w/ w: q& t) z; K8 I" a& G1 f/ Q$ u- }
這項由經濟部舉辦的「2010年電子資訊國際產銷合作績優廠商頒獎暨感謝晚宴」是於本月23日在台北國賓飯店舉行,活動中頒發最佳採購楷模、最佳價值創造,及研發創新夥伴等獎項給得獎廠商,新思科技是由董事總經理葉瑞斌代表接受經濟部部長施顏祥的頒獎,同時獲得這個獎項的還有HP、IBM、SONY等三家公司。   x% w" t) J: G
9 Y! K. ^4 Q  a% _. Z! n- g
經濟部部長施顏祥在致詞時表示,台灣資訊產業能有今天的成就,除了植基於資訊產業蓬勃發展與不斷的創新研發外,外商國際大廠與台灣的合作也是產業成長的重要推手,而台灣在資訊硬體上已具備全球競爭的實力,為進一步提升產業附加價值,政府希望運用資訊硬體產業發展的基礎,扶植國內軟體產業,使台灣的產業能繼續朝向高附加價值的方向發展。
0 t6 @- b0 O0 ?$ V; _! r6 N3 E: |
# @1 ]) K) C- R. m0 @6 M施顏祥強調,政府不斷努力打造台灣成為優質的投資環境,希望促進外商採購金額持續成長及就業人口的增加,同時鼓勵外商在台灣設立研發中心,支持政府推動新興產業,刺激產業的轉型或技術升級,並透過研發租稅獎勵等重要政策,期能吸引更多跨國經營的企業,投資台灣成為區域或全球運籌總部及「全球創新中心」。
48#
發表於 2010-11-17 07:24:28 | 只看該作者
“我們與SMIC合作提供完整的設計解決方案以確保各家公司能夠快速而高效地創建各種充分利用中芯國際最新工藝技朮的SoC。”Synopsys營銷和策略開發高級副總裁John Chilton說:“諸如晶晨半導體這樣的共同客戶的成功証實了我們久遠而深入合作的價值。”4 Y# ]1 }: Q5 M6 z0 ?( m& f/ {

( W' C& ]- h8 l- YSynopsys 現可立即供應 Synopsys Galaxy 實現平台。用于 SMIC 65低功耗工藝精選的 DesignWare IP 自今天即可供貨。中芯國際65-nm 和40-nm 工藝以及經驗証的 PDK 可由中芯國際提供。7 c5 Y, {  y3 Q$ S" ?8 B; h( ~

9 A  d* n$ k% l: k關于晶晨半導體
5 Z3 |& a. X/ a, @- u; C
6 a& E; o+ a/ k2 k$ R9 \6 y晶晨半導體(Amlogic)是一家領先的無晶圓廠系統級芯片公司,為高清多媒體、3D 游戲和各種與互聯網連接的消費類應用(包括平板電腦、數字電視、機頂盒、IP-STB、數碼像框和移動互聯網設備等)提供各種開放式平台解決方案。Amlogic 通過將其專有的高清多媒體處理引擎和系統 IP 以及業界領先的 CPU 和圖像處理器技朮結合在一起,為全球領先的 OEM 和 ODM 品牌客戶提供各種 IC 解決方案。Amlogic 為客戶提供了一個全面集成的解決方案,從而確保他們以快速的產品面市時間將具有競爭力的產品帶給客戶。通過提供各種具有高水平系統集成度的 SoC 解決方案,Amlogic 確保它的客戶們能夠快速生產各種能夠很好平衡了功能性、功耗和成本的網絡化消費電子產品。公司總部位于加利福尼亞州聖克拉拉,同時在上海、深圳、北京和香港設有辦事處。請登陸http://www.amlogic.com 在線了解 Amlogic。
47#
發表於 2010-11-17 07:24:17 | 只看該作者
“晶晨半導體最新的 AML8726-M 多媒體 SoC 將我們專有的高清多媒體處理引擎和 ARM(R) Cortex(TM) A-9 以及 ARM(R) Mali(TM)-400結合在一起,為各種高性能多媒體 SoC 設立了一種新標准。AML8726-M 很好地在功率、性能和成本之間實現了平衡。與此同時支持各種先進的移動媒體功能,如 Android 2.2到1080P 的視頻解碼、1080P 高清晰度多媒體接口(HDMI)到高清電視(HDTV)的輸出,以及支持 HTML5和 Flash 10.1的網頁瀏覽功能。”晶晨半導體工程副總裁 Mike Yip 表示:“Synopsys 和中芯能夠精確地按照我們的需求流片,比如經過驗証的 on-chip 接口和各種混合信號 IP 可為我們的 OEM/ODM 客戶降低總系統物料成本,此外強大的芯片級性能可支持的各種多媒體廣泛的應用要求。”. c& x% u7 Y! A

% p! o  P4 M% f% W& ?) ]+ w1 c“我們選擇與 Synopsys 合作以提供我們65-nm 和40-nm 的 SoC 設計解決方案。”中芯國際資深副總裁兼首席商務官季克非表示:“我們的設計服務團隊憑借高效率高質量的 Galaxy 實現平台來幫助我們的終端用戶進行各種復雜的設計。Synopsys DesignWare PHY 和模擬 IP 的開發在我們180-nm 到65-nm 工藝技朮上已有長久且成功的合作關系。我確信這些 經驗和未來的創新將能夠確保我們在40-nm 節點上達到相同的成功。”
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 10:03 PM , Processed in 0.132017 second(s), 17 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表