Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 27360|回復: 25
打印 上一主題 下一主題

[市場探討] 智原科技採用捷碼(Magma)的FineSim SPICE電路模擬器

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-1-23 15:38:12 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
降低模擬時間至 24 小時以下 其他競爭者的模擬器需時 26 天 # ]# ^( z9 _# f. V
+ q% J% M7 z/ p" J9 z
【新竹 台灣.桑尼維爾 加州 】2007 年 01月 16日
* }- n' ~# S* B, o! d4 e) k" ]半導體設計軟體供應商捷碼 (Magma) 設計自動化有限公司(納斯達克股票交易代碼: LAVA )今日宣布,ASIC 設計服務暨 IP 研發銷售領導廠商 ─ 智原科技 ( 台灣股市交易代碼 : 3035) 已經選用捷碼 (Magma) 的 FineSim SPICE 電路模擬器作為其 高性能晶片設計的模擬器。 FineSim SPICE 雀屏中選的原因是,它展現了比其他幾個市面上常見的電路模擬器產品更好的準確性與更快速的運作時間,將設計上的模擬時間降低至 24 小時以下,而某一 通用競爭者的模擬器則需時 26 天 。
$ x; F$ S7 [, x) r1 I2 d% [* Q2 C  i# ^$ H, |# T6 Q
“我們使用過七台不同的電路模擬器,但我們需要一個高性能晶體等級的 SPICE 電路模擬器供我們最新的、高頻率的 PLL 晶片使用 ” 智原科技 IRDC ( 基礎建設研發中心 ) 部長王志恆表示, ”藉由在我們的 10 /100/1000 以太網控制器, 10/100 快速以太網 PHY 核心,和聯電 90 奈米過程中的千兆赫 PLL IP 上使用 FineSim SPICE ,我們能在維護低抖動率的同時,增加頻率。我們準備將 FineSim SPICE 在我們的電路模擬器上規範化。 ” 廣泛被應用在家電產品譬如手機和衛星電視的 PLL ( 階段鎖圈環 ) 設計,要求低抖動率,與二個信號階段之間的變化。
9 T, |. s$ O9 C3 Z6 s/ _) d' l- _! L4 n- _& `
"我們的目標是達到一次設計成功,最後縮短上市時間。 " Wang 說, "FineSim SPICE 的準確性和其分散處理所導致的快速運行時間,能幫助我們迅速完成非常先進的設計 - 我們先前的模擬器需時 26 天,但是對同樣的設計, FineSim SPICE 只需要少於 24 小時。 " " 我們的主要焦點是改進設計生產力,而 FineSim SPICE 的目標就是快速地達到更好的準確性。 " 捷碼客製化設計業務總經理 Suk Lee 表示, " 使用 FineSim SPICE ,設計師能模擬非常高性能的晶片。如果使用傳統的模擬器產品,此種模擬將是不可能實現的。"
' k, Y/ @9 z# O
! Z1 h# p% ]7 X9 TFineSim SPICE 是 SPICE 等級的模擬分析工具,內建為混合的數位和類比設計使用的晶體管級模擬分析能力。 FineSim SPICE 包含一個全套的 SPICE 模擬引擎 ,可使顧客在晶體管水平模擬大規模混雜信號系統晶片的分散處理 。藉由在維護充分的 SPICE 準確性的同時提供更快的速度和容量, FineSim SPICE 使設計師得以模擬先進的電路 -- 譬如 PLLs 、 ADCs ( 類比到數位轉換器 ) , DACs ( 數位到類比轉換器 ) ,並且千兆赫 SERDES (SERializer/DESerializer)-- 他們早先甚至不會嘗試使用更慢的傳統 SPICE 模擬器來進行。
* v0 [7 n: J- W# G1 `9 T: H! F $ S, p  u' G2 g7 D6 P
關於捷碼
" [0 ?7 e8 V7 A8 K7 F; o9 V; u5 o3 ^1 h2 J8 o; u
應用於積體電路 (IC)設計的捷碼設計自動化有限公司 (Magma Design Automation) 軟體被公認為是半導體科技中最優秀軟體的代表。全球頂尖的半導體公司都使用捷碼 (Magma) 的 EDA (電子設計自動化) 軟體來設計和驗証複雜的、高性能的 IC ,應用於通訊、計算、消費電子以及網絡應用,同時,縮短了設計時間和成本。捷碼 (Magma) 公司為 IC 實施、分析、物理驗証、特徵描述和可編程邏輯設計以及公司完整的 RTL-to-GDSII 設計流程提供了“從 RTL 到矽片的最佳捷徑” ("The Fastest Path from RTL to Silicon") 。捷碼 (Magma) 總部位於美國加州矽谷的聖塔克拉拉市,在世界各地均設有辦公室。捷碼 (Magma) 的股票以交易代碼 LAVA 在納斯達克証券交易所掛牌交易。欲知更多有關捷碼的資訊,請上網 : www.magma-da.com
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂2 踩 分享分享
26#
發表於 2014-4-18 14:24:39 | 只看該作者
為了克服先進製程中的高度變異性,智原的28奈米記憶體編譯器,採用多種輔助電路來提高產出的良率與效能。其中,智原專利的NBL(Negative BitLine)技術可在低壓狀況下,強化寫入的能力,且經矽驗證,可在28奈米HPM變異最大(worst corner)的製程條件下,提升良率。而新一代的感測電壓追蹤技術(tracking control scheme of sensing margin)與DPRAM的儲存單元電流增強技術(cell current boost)可增加讀取成功率,降低最低工作電壓約200mV。另一項獲得專利的WLUD(Word-Line Under-Drive)技術,在測試晶片上,也已經被證實可有效降低讀取干擾(read-disturbance)。而ROM的部分,智原則採用最新的字元線漏電控制(bit-line leakage suppression),與隨製程變化自動調整的位元線升壓(adaptive word-line boost)技術,進一步擴大低壓條件下的讀取範圍。 $ {4 c3 ?# T  D2 |

# L7 [3 X1 O& h& Y& {0 S# J4 ]智原科技資深研發處長陳治弘表示:「奠基於二十年以上,與聯電合作開發基礎元件IP的經驗,智原科技已經具備相當深厚的技術實力、以及對聯電製程的高度掌握和熟悉度。所以在每一世代所推出的IP,不論是在尺寸、效能、功耗、以及良率表現上,都能具備高度競爭力,吸引IP與ASIC客戶的採用。同樣地,對於新推出的28奈米解決方案,我們也有相當的信心,可持續協助客戶在市場上攫取更大商機與獲得成功。」
25#
發表於 2014-4-18 14:24:09 | 只看該作者
智原科技發表完整的聯電28奈米元件庫與記憶體編譯器' [& U. G7 K2 k9 ?/ m# L6 Q9 n
多項專利技術,滿足最佳效能、最小晶片尺寸,提升產製良率 / ]4 B0 `5 @/ H; c  b& d/ t

' {) A& Q5 Q5 ~( j+ H  }3 N: c+ Z[台灣 新竹] 2014年4月16日8 F+ r* I9 p3 P

4 ~3 }- J4 S+ ~3 W8 vASIC設計服務暨IP研發銷售領導廠商 ─ 智原科技(Faraday Technology, TAIEX: 3035)於今日發表在聯電28奈米HPM(High Performance for Mobile,高效能行動運算)與HLP(High-Performance Low Power,高效能低功耗)製程的元件庫(cell library)與記憶體編譯器(memory compiler)。這套完整的28奈米解決方案,可滿足市場對低功耗、高密度與高速效能的需求,並有效提高良率。完成迄今,已經受到客戶的高度肯定與採用。# z2 L( p" T0 R- n7 w8 ~$ R! ]
  b6 z& e$ b8 ]/ i# q; N) c; m
因應不同市場的需求,智原科技的28奈米元件庫中,包含了7軌的miniLib™ 、9軌的通用型元件庫、以及12軌的UHS-Lib™。同時,全系列都搭載了PowerSlash、多種臨界電壓元件、不同通道長度元件(multi-channel length)等低功耗機制。當中,miniLib™在不影響繞線能力(routability)的情況下,可大幅縮小晶片面積,約達20%;而12軌的UHS-Lib™則可提高ARM CPU的效能,達到1.5GHz。
24#
發表於 2011-8-23 09:25:35 | 只看該作者
Chrontel Standardizes on Magma to Accelerate Development of Analog/Mixed-Signal ICs4 d2 }! Y8 q5 l: S7 n; j
Tight Integration of the Titan Analog/Mixed-Signal Design Platform, FineSim Simulation and Quartz DRC/LVS Physical Verification Solutions Delivers 50 Percent Improvement in Productivity
/ }/ U! R7 g( M, w: V2 ^+ j% w% {: F; A  i( e" l
SAN JOSE, Calif., Aug. 22, 2011 (GLOBE NEWSWIRE) -- Magma® Design Automation (NasdaqAVA), a provider of chip design software, today announced Chrontel, a leading provider of display interface ICs for personal computers, portable media players and smartphones, has standardized on the Titan™ Mixed-Signal Design Platform, FineSim™ SPICE and FineSim Pro circuit simulation, and Quartz™ DRC and Quartz LVS physical verification products. Chrontel selected the Magma software after an extensive evaluation and benchmarking of the Titan-based flow against other EDA flows resulted in a 50 percent improvement in productivity.
/ w) ?2 c% c9 A3 c! O9 a
$ I( @! h+ J0 Q$ U' w"To keep up with the changing demands of the consumer market, our customers need a constant supply of innovative visual interface IC solutions," said Dr. David Soo, president and CEO of Chrontel. "Magma's comprehensive, highly integrated analog/mixed-signal design platform and simulation and verification solutions streamline our entire analog/mixed-signal design flow and allows us to deliver the high-quality, differentiated silicon our customers need — on time."
% ]6 l% i- s* K& x2 R( x3 c$ n7 Y1 ?, B; e' \( y
"With the tight integration of Titan, FineSim and Quartz, analog designers can leverage faster throughput, higher capacity and more automation to develop innovative analog/mixed-signal ICs faster and more cost effectively," said Anirudh Devgan, general manager of Magma's Custom Design Business Unit. "Chrontel's decision to standardize on the Titan-based flow illustrates how Magma's Silicon One initiative brings together superior technology, design expertise and our customers' creativity to deliver profit-driving differentiated silicon."
' y; u& @5 [# a/ D" |/ P) Y1 L) w* w/ h+ Z: m) t
Silicon One Solution for Analog/Mixed-Signal Design+ M( B6 g& P! P% E1 X

$ [2 R* C3 q9 c9 hWith Titan, FineSim and Quartz, Magma's Silicon One solution for analog/mixed-signal designs provides the highest speed, capacity, and the required accuracy to be able to handle even the largest analog/mixed-signal SoC designs. It includes Titan, a breakthrough analog automation and optimization product that is fully integrated into Magma's Talus® digital implementation platform. The Titan platform delivers first-time-correct, predictable mixed-signal designs, without sacrificing performance, and shortens the design process by weeks. With automated mixed-signal assembly and verification, Titan provides an order-of-magnitude productivity improvement over other tool flows. FineSim provides fast, high-capacity circuit simulation that can be deployed for MCU, FPGA, interface and power management chips to fully simulate and verify these designs. The fully scalable Quartz DRC and Quartz LVS provide a superior alternative to traditional tools, allowing designers to handle more complex designs in less time with existing hardware.
23#
發表於 2011-8-23 08:43:05 | 只看該作者
Open-Silicon Adopts Magma's SiliconSmart ACE for Standard Cell and I/O Cell Characterization and Modeling to Maximize Performance on Advanced-Node Designs- b6 K9 w( G) @2 l
Accelerated Circuit Engine Fully Automates the Characterization Flow to Provide an Order-of-Magnitude Improvement in Throughput
0 ?/ s3 {" E$ |6 U* f; I
3 Q6 D+ N4 v3 |3 O" L% t2 h6 C% [SAN JOSE, Calif., Aug. 22, 2011 (GLOBE NEWSWIRE) -- Magma® Design Automation (NasdaqAVA), a provider of chip design software, today announced that Open-Silicon has standardized on SiliconSmart® ACE for standard cell and I/O cell characterization and modeling. A long-time user of SiliconSmart, Open-Silicon upgraded to SiliconSmart ACE to leverage the tool's proven ability to quickly and accurately characterize libraries for multiple process, voltage and temperature (PVT) corners which will enable them to maximize power savings and performance on advanced-node designs. Open-Silicon uses SiliconSmart ACE in particular for characterization of new cells created with its patented CoreMAX™ design-specific library augmentation flow and for library recharacterization for Open-Silicon's VariMAX™ technology with back biasing.
) D" z! m" i* _! h/ y  `' _" @: L7 ]0 k' X8 q8 g
"We have used SiliconSmart for several years and our customers have benefitted from its capabilities," said Shrikrishna Mehetre, engineering manager at Open-Silicon. "As we move to more advanced process technology, extracting every picosecond of performance requires fast, accurate characterization of multiple PVT corners. With its superior capabilities and Magma's ongoing world-class support, we have complete confidence in using SiliconSmart ACE for our next-generation designs."$ R' `, y9 E) m7 c

4 D8 z" ]3 l( z- z4 O"With enhanced accuracy, speed and ease of use, SiliconSmart ACE provides an order-of-magnitude improvement in throughput compared to other solutions," said Anirudh Devgan, general manager of Magma's Custom Design Business Unit. "Its proven ability to significantly reduce time and effort makes SiliconSmart ACE the clear leader in characterization and modeling."
4 c7 Q% A; G  z6 b/ O# c, Z6 }
: U# F1 e9 A' J3 [" m) M$ Y( ESiliconSmart ACE: Order-of-Magnitude Improvement in Throughput
4 {2 s( _) e; I1 U8 J5 e- d$ F' ]2 c/ Y
Featuring industry-leading accuracy, throughput and ease of use, SiliconSmart ACE provides standard-cell, I/O, custom macro and memory characterization and modeling for all popular design flows. It supports all the advanced timing, power, noise and statistical modeling specifications. The proprietary Accelerated Circuit Engine (ACE) fully automates the characterization flow, employing the most advanced circuit function recognition technology and vector generation and optimization algorithms to efficiently characterize cells without compromising accuracy. For maximum performance, SiliconSmart ACE embeds Magma's accurate and ultra-fast SPICE simulator, FineSim™ SPICE, providing up to an order-of-magnitude improvement in throughput. It includes a closed-loop model validation flow that allows users to seamlessly launch third-party tools within the Magma system to verify the generated models.
22#
發表於 2011-3-29 09:23:14 | 只看該作者
Titan Accelerators are advanced technology solutions that dramatically improve analog/mixed-signal design productivity and reuse. Titan Analog Design Accelerator (Titan ADX) is a model-based analog design and optimization tool that enables analog design reuse. ADX creates new designs from Magma's library of FlexCell building blocks, and makes existing designs reusable as FlexCell models. Titan Analog Virtual Prototyper (Titan AVP) is a layout-aware schematic design tool that performs simultaneous electrical and physical co-design for rapid schematic-to-layout convergence. Titan Analog Layout Accelerator (Titan ALX) automates migration of analog cell layouts to new process technologies while preserving design intent. Titan Shape-Based Router (Titan SBR) automates difficult routing tasks improving routing productivity by a factor of 10.
4 U. X  l; {3 `7 q" ^. Q
. ^! @( i/ v/ L+ t1 w3 BAbout iWatt, Inc. ?0 a0 {( C% ?: W8 b7 r$ d4 A
) A6 b' \* a+ x7 j0 ?; n" O
iWatt, Inc. is a power control IC company that designs, develops and markets semiconductor products for the communication and consumer market segments. The company's patented digital control expertise raises the bar in power supply price/performance metrics. iWatt is currently working with market leaders in the communications, flat panel display, and consumer electronics markets to develop high-density, high-value AC-DC and DC-DC power supplies. iWatt is backed by VantagePoint Venture Partners and Sigma Partners, two leading CleanTech investors, and Horizon Ventures. The Company's Silicon Valley headquarters is located in Los Gatos, CA with additional offices in Taipei, Taiwan; Seoul, Korea; Tokyo, Japan; Shenzhen, China; and Hong Kong. For more information, visit www.iwatt.com
21#
發表於 2011-3-29 09:23:07 | 只看該作者
"To enable analog designers to deliver the required combination of efficiency, productivity and innovation needed for today's ICs, the analog design process must be accelerated and automated," said Anirudh Devgan, general manager of Magma's Custom Design Business Unit. "By making analog routing fast, predictable and repeatable, Titan improves routing productivity by a factor of 10, allowing designers to achieve their time-to-market and performance goals. Titan should help iWatt solidify its position as one of the most innovative power IC companies in the world."
2 e" t- p2 T6 ^6 X# ?$ f! f' g7 j# f$ v8 y
Titan: Accelerating Analog Design
9 v6 x! _& \  a6 m7 l5 c1 i5 f
9 E: Z& \: M; \; ~9 VMagma's mixed-signal SoC design environment includes the comprehensive Titan Mixed-Signal Design Platform and the Titan Accelerators. The Titan Mixed-Signal Platform is the industry's first true mixed-signal design platform. It integrates implementation and verification while delivering first-time-correct, predictable mixed-signal designs. The Titan mixed-signal platform includes user-friendly full-custom schematic and layout editors, an analog simulation environment and correct-by-design schematic-driven layout.
20#
發表於 2011-3-29 09:22:40 | 只看該作者
iWatt Selects Magma's Titan to Automate Analog Design of Power Supply Control ICs
# D+ Z; R( [, L9 N9 l" HTitan Shape-Based Router for Mixed-Signal Designs Reduces Routing Time From 2 Weeks to 2 Days
5 c% j: e' f* z& q: @, K. n
$ N3 j6 E! }& DSAN JOSE and LOS GATOS, Calif., March 28, 2011 (GLOBE NEWSWIRE) -- Magma® Design Automation Inc. (NasdaqAVA), a provider of chip design software, today announced iWatt has adopted the Titan™ platform to improve analog design and layout productivity, and to automate difficult routing tasks including analog cell layouts and chip-level assembly. iWatt, a developer of energy-efficient digital power supply control integrated circuits (ICs) used in leading-edge power supplies, adopted Titan based on results of an extensive evaluation in which Titan enabled iWatt's designers to set up and route a design in just 2 days — an effort that would have taken more than 2 weeks to do manually.( |. @. m0 A: P9 d& O
5 X! O8 x7 k) o" R: f
"iWatt's mission is to exceed our customers' expectations with best-in-class products and services throughout the solutions life cycle," said X. Jin, Ph.D., vice president of Engineering at iWatt. "One of the ways we do that is to utilize innovative technology — such as Magma's Titan — that shorten the analog design process and reduce power and area requirements."
19#
發表於 2009-7-21 10:45:56 | 只看該作者
捷碼科技宣佈推出支持SMIC 65奈米制程的低功率參考流程" Y$ w4 Q4 l6 p2 o
這是一款可用於SMIC 65奈米庫的基於Talus的流程
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,一款面向中芯國際積體電路製造有限公司(SMIC65奈米制程和低漏電制程智財權(IP)的先進低功率IC實現參考流程正式面市。
! w' ?7 `! ~( b$ I * }: L2 l- i/ h9 K1 X
SMIC65奈米邏輯技術集更高性能和更低功耗與更小節點制程才可提供的更高設計可能性和成本效率於一身。捷碼科技Talus® IC實現系統流程可為標準元件庫、功率管理工具包(PMK)以及記憶體編譯器等SMIC 65奈米低漏電制程智財權(IP)提供完全支援;同時,結合Talus Power Pro的實現流程通過貫穿整個流程地應用各類技術,能夠在最大程度提高產品性能的同時將功耗降至最低。此外,Talus還能夠有效縮短手機、個人媒體播放器、全球定位、數位電視、機項盒和移動存儲設備等大範圍消費性應用中所用IC的設計週期並降低其功耗。7 _$ l8 ~- L; H/ a/ @9 t
- H% m5 C2 ?& c: Y! {
“捷碼科技對支援SMIC 65納米制程技術的先進低功率IC實現參考流程的開發很好地兌現了我們兩家公司為設計師提供可提高IC性能並降低IC功耗的工具及技術的承諾,”中芯國際設計服務部副總裁歐陽雄表示。
; T. G8 l, v0 E  G, _: b
3 l# Q/ Q# N5 D) c' hTalus是唯一讓設計師能在單一環境中貫穿整個流程地解決功率問題的流程,”捷碼科技設計實施業務部總經理Premal Buch表示。“通過使用TalusSMIC客戶將能夠獲得具有最佳的高性能、低功率、短設計週期組合的複雜設計。”' o. z2 n3 x, d- n% L$ d# y- g

! C5 B' e# Y, e& R面市時間; Z* |1 |* o9 n9 f$ }
這款參考流程現可供捷碼科技客戶免費使用。
18#
發表於 2009-6-19 14:45:16 | 只看該作者
捷碼科技QuickCap NX經驗證可支持台積電40奈米制程iRCX格式
這款3D場解算器可提供精確的晶片性能預測
; O$ E1 E& l. ^' t/ j
- \( z% R! m4 U( K! L; j) D
美國加州聖荷西晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,QuickCap® NX已通過了驗證,可支持65奈米和40奈米制程積體電路(IC)的TSMC iRCX格式的寄生提取與建模精度需求。採用以iRCX格式提供的一致資料,設計師能夠使用捷碼科技QuickCap NX、基於最真實的物理電路3D表示法來提取精確的寄生電容值。精確的電容值是容性串擾、RC延時時間、功耗等許多晶片性能特性計算的關鍵;通過採用QuickCap NXiRCX格式,設計師能在IC製造前更精確地預測IC性能,使得他們能對晶片成功充滿信心地對進行晶片修改或晶片設計。
* J2 p! A6 g0 _  a
  }: ]) z( U) j1 {& f' H6 B台積電iRCX是一種可互操作互連建模資料格式,可確保電阻/電容(RC)提取器、電遷移(EM)工具、功率完整性分析工具以及電磁模擬器的精度。作為台積電開放式創新平臺(Open Innovation Platform™OIP)的一部分,台積電與其設計工具合作夥伴聯合開發了幾種可互操作EDA介面格式,而iRCX就是所誕生的第一個成果。
+ F+ G* A% l& I* R
. y4 N5 p6 [3 q- k  u“在40奈米和65奈米節點,高頻率生成精確互連模型的能力可能造成設計流程瓶頸,”台積電(TSMC)設計服務市場部副總監Tom Quan表示。“通過共同合作證明QuickCap NX支持台積電iRCX格式,台積電和捷碼科技可確保設計師擁有最精確的模型、突破設計流程瓶頸並實現晶片設計一次成功。”
, S& G; O/ e' b4 C9 D & t9 @( o) n6 [
“台積電一直將QuickCap NX用作為特殊式樣結構和真實設計樣本上RC提取工具的標準差比較目標,”捷碼科技設計實施業務部總經理Premal Buch表示。“台積電對QuickCap NX支援iRCX的認證進一步堅定了我們雙方的客戶對‘捷碼科技3D場解算器是行業寄生提取黃金標準’的信心。”
( a% B- g; G+ m/ _; d) i
' D  y2 H* }- B$ sQuickCap NX:寄生提取領域黃金標準
6 {$ d* {/ o6 K$ c7 V* `QuickCap NX常被主流半導體公司用作為寄生提取參考標準。作為一款高度精確的3D提取器,它可提供光學鄰近校正(OPC)、化學機械研磨(CMP)、梯形佈線等先進制程效應的精確建模。經證明,QuickCap NX通過與精確分析解決方案和晶片測量密切聯繫,可提供了晶片測量的1%以內的電容值。
% C0 R. x3 E; q" J- H" q 1 S# |7 G$ m, F$ y1 s0 i
同時,通過提供有關每個網路的拔入精度和誤差界限報告,它還為用戶提供了對結果精度的完整控制,讓用戶對結果精度充滿信心。目前,QuickCap NX更密切匹配晶片測量的能力已得到領先的代工廠的確認;通過將制程效應考慮在內,QuickCap NX電容值與實際晶片測量間平均差已從9.79%降低為只有0.11%
5 p3 M3 V# T+ j
, q9 j& D9 t) G3 C5 n4 X9 ^( z捷碼科技流程中的QuickCap NX
* X! Z/ k5 B* g$ r: w1 Y在捷碼科技流程中,QuickCap NX可被用以進行佈局後分析。同時,QuickCap技術可被合併進Talus®物理設計軟體系統中以支援晶片實現期間高度精確的時序和噪音分析;它還可被用於計算TalusQuartz™ RC簽核提取工具內所用的高度精確的電容規則。
17#
發表於 2009-5-6 13:46:52 | 只看該作者
原帖由 chip123 於 2007-1-23 03:38 PM 發表
! Y1 t, H# [9 h. v# K$ o! s降低模擬時間至 24 小時以下 其他競爭者的模擬器需時 26 天 4 }/ M3 H) n% o  F) X

2 \  d4 O: g7 U/ |6 Q% R【新竹 台灣.桑尼維爾 加州 】2007 年 01月 16日
5 ^8 j8 X) r- D' Z半導體設計軟體供應商捷碼 (Magma) 設計自動化有限公司(納斯達克股票交易代碼: LAVA )今日宣布 ...
Panasonic選擇捷碼科技FineSim SPICE作為大型類比IP設計的標準驗證工具
快速、精確、高容量的SPICE類比技術現在被應用於生產類比設計流程中
美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,全球最大的消費電子產品供應商之一Panasonic公司採用捷碼科技公司的具有線性多CPU技術的FineSim™ SPICE模擬器作為大型類比IP設計的驗證工具。通過在類比設計流程中使用FineSim SPICE模擬器,Panasonic公司設計師可以獲得快速、精確、高容量的SPICE類比技術,這就使得他們能夠對以往由於規模過大、過於複雜而不能類比的設計進行電路性能的驗證。這在生產之前就能精確預測到這些大型類比設計性能的能力可有效減少IC開發和製造所需的時間和工作量。
; P0 T' [: S/ P( u4 I ! E9 k( J0 ?! d' Q+ ?& {
“許多不同類型的類比電路設計都要求非常精確的SPICE模擬,”Panasonic公司戰略性半導體開發中心(SSDC)高級主管工程師Shiro Dosho博士表示。“如果複雜類比設計能夠進行完全模擬,那麼由於其極為敏感的屬性,採用SPICE模擬器驗證這些設計往往要花費數周時間。通過採用FineSim SPICE,我們看到它較常規SPICE模擬器實現了有效的加速,同時還能對規模更大得多的電路進行類比,這在以往SPICE模擬過程中是完全不可能做到的;而且,我們能夠在多重條件下驗證我們的設計。”( Y' U9 `9 m$ E6 e
/ ?' V1 X" p- _( \0 e7 n
“通過利用捷碼科技的Native Parallel TechnologyTM,FineSim SPICE提供了真正線性多CPU性能和容量,同時還提供了矽物理性能精確的結果,”捷碼科技定制設計業務部總經理Anirudh Devgan表示。“這使得我們的客戶能確保達到預期利潤率,充滿信心地進行IC生產。我們很榮幸Panasonic公司全面採用我們的技術進行其最具挑戰性消費類IC的設計。”$ B9 Z  h2 }; k4 [: N

3 X) R0 K8 _0 u- R8 [& M* w& yFineSim SPICE:快速精確的模擬' b1 ]  w6 X1 X
FineSim SPICE是一款SPICE級模擬分析工具,包含有電晶體級數位和類比混合設計模擬分析功能。作為一款具有分散式處理功能的全SPICE類比引擎,它使得客戶能夠類比大型電晶體級混和信號系統晶片。通過在保持全SPICE精度的同時提供更快速度和更高容量,FineSim SPICE使得設計師能夠模擬ADC (類比數位轉換器)、DAC (數位類比轉換器)和千兆赫SERDES (SERializer/DESerializer)等先進的電路,這在以前他們甚至不會嘗試使用速度較慢的傳統SPICE模擬器來進行。
16#
發表於 2009-3-11 12:01:38 | 只看該作者
捷碼科技向LogicVision公司提供ATPG技術授權
授權協定確保了捷碼科技IC實現軟體與LogicVision公司DFT產品間持續互操作性
# Q3 b3 M% y% B' V$ n/ I
美國加州聖荷西  200925日– 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼:LAVA)日前宣佈,公司已向領先的半導體內置自測(BIST)和診斷解決方案提供商LogicVision公司(納斯達克代碼:LGVN)提供了自動測試向量生成(ATPG)技術的授權。通過這項協議,LogicVision公司將能夠更快拓展產品組合,為客戶提供更全面的可測性設計(DFT)功能以改善測試品質、縮短奈米IC設計週期並降低奈米IC成本。此外,兩家公司還簽署了一份單獨協議,允許捷碼科技向其戰略性客戶分銷LogicVision產品。% L+ W9 b1 }8 j$ s

/ K4 U1 ?: i. V# y. z“當LogicVision提供先進ATPG技術支持等DFT技術專業知識時,捷碼科技將繼續關注實現、物理驗證和電路模擬方面的核心產品,”捷碼科技設計實施業務部總經理Kevin Moynihan表示,“先進的DFT產品不但可與捷碼科技的RTL-to-GDSII流程完全互操作,而且還可提供更短的設計週期和更好的結果。對先進DFT技術的持續支援將讓我們的客戶受益匪淺。”
) o3 ]3 _. I) g1 z0 q! N
: y  M5 U$ X* s; r! wLogicVision將基於捷碼科技的先進技術來開發、銷售和支持ATPGATPG壓縮解決方案。兩家公司將確保先進DFT功能與捷碼科技的IC實現軟體間緊密互操作性。) N1 w/ s+ x3 U0 n" d( b, A; F
0 ~7 R: p: y7 b, ~" q9 S2 n. k
LogicVision利用捷碼科技的技術讓ATPG功能更上一個臺階4 W% e) v* q. ^% ]7 x  ?# e
LogicVision一直努力為客戶提供最全面DFT產品,此次開發ATPGATPG壓縮解決方案旨在為其業界領先的全速邏輯BIST功能提供補充。通過捷碼科技的各項關鍵技術,包括採用多線程架構設計的先進ATPG核心技術,ATPGATPG壓縮解決方案的開發速度將可大大地加快,這代表了能夠充分利用多處理器平臺的更高處理能力的下一代ATPG功能。正在開發中的新ATPG解決方案所提供測試速度預期要比現有商業解決方案會快得多。
. E5 U, K& C* m% y8 l1 K, ]
4 n$ Q: ]. }  A9 f8 K LogicVision正致力於提供同類最佳的DFT解決方案,”LogicVision公司總裁兼首席執行官Jim Healy表示,“基於捷碼科技先進技術的新ATPG解決方案與我們業界領先的邏輯BIST解決方案的結合使用將確保我們的客戶擁有必要工具來滿足邏輯測試需要。”
: U2 }6 Q4 {( M/ Q9 {
8 M5 {7 i" ?4 u: b9 \5 K捷碼科技實現解決方案中整合BIST解決方案現已面市。首輪新ATPG解決方案客戶互動預期將於2009年秋啟動。
15#
發表於 2009-3-11 11:37:48 | 只看該作者
Intrinsity全面採用捷碼科技Talus流程實現高速、低功率處理器的設計

0 {$ Z  a9 s5 `( v, C  ^
捷碼科技幫助Intrinsity公司實現功率、面積最小化,同時將性能提升2

2 B; y& }5 N) J$ W
+ y  x' n# {/ [美國加州聖荷西  – 晶片設計解決方案供應公司捷碼科技(Magma®)(納斯達克代碼
1 [5 x! ]8 p6 ^* aLAVA)日前宣佈,高速、低功率處理器提供商Intrinsity公司正式採用捷碼科技完整RTL-to-GDSII平臺——Talus®作為其IC設計流程靜態ASIC部分的實現平臺。
& m" W6 z! M. e, `! f5 h , G. U- u. W& j) M, L) z1 l
Intrinsity公司利用自己專有的Fast14®快速靜態技術和1-of-N Domino Logic (NDL®)技術來設計高速、低功率處理器,日前之所以採用捷碼科技公司完整RTL-to-GDSII平臺,是由於在該公司開發基於靜態技術和NDL技術的最新設計當中捷碼科技軟體給人留下了深刻的印象。Talus DesignRTL合成功能、Talus Vortex的佈局與佈線功能與Intrinsity公司的Fast14設計技術的完美結合使得Intrinsity能夠雙倍提升工作頻率,在僅僅48小時時間內就完成了從最終RTLGDSII流程的設計。此外,Talus Power Pro有效處理多閾值電壓(multi-VT)庫的能力使得功率顯著降低。採用這款軟體,Intrinsity交付高性能處理器設計所需的設計人員要比使用傳統方式時要減少很多。9 |2 w' n* A. L* Q
6 g! Z! |7 k& B, W& ^
Intrinsity致力於幫助半導體公司滿足今天的移動、網路、存儲以及其他高速嵌入式應用不斷增加的處理需求,”Intrinsity公司處理器工程部副總裁David Shippy表示,“Talus系統先進的IC實現技術可幫助我們持續提高性能、降低功率和面積、縮短基於我們突破性Fast14技術的處理器設計的開發週期。”- {% Y6 W& m& |( D2 ?2 E0 |
% x) f5 E+ M$ @# d1 e( v- ^4 I: F' W
“基於業界唯一的統一資料模型,Talus可同時自動優化時序、面積和功率,減少迭代並提高設計人員工作效率。獨特的系統架構結合與功能強大的介面還使得設計人員可以靈活微調進行優化,確保了設計可滿足所有參數並獲取最佳性能,”捷碼科技設計實施業務部總經理Kevin Moynihan表示,“Intrinsity公司雙倍提升工作頻率以及在兩天內完成設計流程的能力就是Talus作為高速、高性能設計最理想工具的最好證明。”
2 a" {: h* I0 g
4 u* k/ I5 S4 B  @. h0 o2 ?+ h. w. O/ b3 x" y
Talus:高速、低功率設計平臺3 x( k2 X( W( z( V. v7 d" E
捷碼科技的Talus IC實現軟體是一款具備處理各種先進奈米工藝設計功能的一體化RTL-to-GDSII系統。作為首款可以對整個IC設計流程各個工藝進行處理的實現解決方案,Talus解決了更短上市時間問題;其前端設計系統為邏輯設計人員提供了快速、高容量、具有物理意識的合成功能;其物理設計系統採用了全新的優化、佈局與佈線和時鐘樹合成技術,解決了變異性和多模/多角複雜性問題;此外,Talus還提供了冗余通孔和有光刻意識佈線(litho-aware routing)等內嵌式DFM功能進行良率優化,實現了可製造性和可靠性的改善。
1 O1 M) V: N6 g; V) C9 Q0 O/ d
5 {$ H$ O. D1 @這款捷碼科技平臺還包括了先進的功率優化和管理功能,並且通過實施多種節能設計策略實現了最大的功率降低。Talus在整個RTL-to-GDSII流程中整合了低功率分析和優化引擎。它支援內嵌多閾值電壓(multi-Vt,)、自動化多電壓設計、採用同步多角優化和多電壓域(multi-Vdd)的自適應電壓縮放以及物理實現等各種先進技術,可滿足領先代工廠的動態功率和漏電功率需求。
14#
 樓主| 發表於 2008-12-29 10:55:20 | 只看該作者

AltaSens利用Magma IC實現軟體標準化

AltaSens利用捷碼科技(Magma)IC實現軟體標準化,簽訂多年合作協議$ s" ?9 }/ g7 n; g$ `+ U

* w& X5 d2 G/ y) {$ b0 e(美國加州聖荷西訊)晶片設計解決方案供應商捷碼科技(Magma)日前宣佈,AltaSens公司確定採用捷碼科技IC實現工具作為奈米積體電路(IC)設計平臺。專為高清晰度(HD)視頻領域提供高性能CMOS影像感測器供應商AltaSens公司,在過去3年�使用捷碼科技軟體的晶片設計獲得成功。最近其後端設計師通過使用捷碼科技軟體,一個人就能夠在僅僅一周時間內完成低功率500萬閘從最後RTL到GDSII的複雜設計。
9 x. i6 E6 v2 F: Y) M- I9 C+ T0 Z- `% B4 X- ^: \
「AltaSens影像產品被廣泛應用於從廣播到醫療到監控攝像機等有高性能和低成本影像解決方案需求的各種領域中,」AltaSens公司資深物理設計工程師Glen Donelson表示:「捷碼科技IC實現軟體的先進功能以及該公司應用工程師所提供的世界級支援服務有助於我們創造產品來滿足我們客戶的技術和設計週期要求。」0 ?; ?' H8 d7 }
3 A& T. L, ^: U- O
「通過自動化的可重複流程來提高設計師工作效率是捷碼科技軟體的一大優勢,」捷碼科技設計實施業務部總經理Kevin Moynihan表示,「AltaSens晶片設計成功記錄以及在超低功率設計上1周的RTL-to-GDSII設計週期證明我們的軟體可解決目前設計問題並滿足交付時間表要求的能力。」
13#
 樓主| 發表於 2008-11-26 07:56:22 | 只看該作者

智原科技參與Fresco Logic夥伴計畫,以提供完整的SuperSpeed USB完整解決方案

共同驗證SuperSpeed USB PHY (USB 3.0)與SuperSpeed Digital xHCI 主/從端控制器的整合,為USB3.0的推出預先做好相容性佈局( O6 u3 X3 }# d4 D6 Z) O4 b( _

' E6 P% }! W- }6 Q+ W【新竹 台灣,桑妮維亞 加州】2008年11月25日9 }0 v! V$ o4 I' _( X

# p  m0 r* k' lASIC 設計服務暨 IP 研發銷售領導廠商 ─ 智原科技 (Faraday Technology, TAIEX: 3035),以及頂尖的矽IP、IC系統及解決方案公司Fresco Logic,今天共同宣布一項針對USB3.0的合作計畫。此計畫主要用來協助驗證智原的USB 3.0 (SuperSpeed USB) PHY IP (Physical Layer IP)和Fresco Logic的USB 3.0 xHCI主端與元件控制器IP之間的整合相容性。Fresco Logic的夥伴計畫讓智原科技能夠獲得SuperSpeed xHCI主端與元件的FPGA硬體研發平台,以及讓智原PHY IP能夠在USB 3.0 xHCI 控制器上進行測試PHY子卡(daughter board)的介面規格。透過這樣的合作關係,未來客戶,尤其是系統或是系統代工廠商就能以一個強大而開放的平台作為產品研發的基礎,並搶先市場一步,以積極進行USB3.0的佈局。
" d5 T) e. U* u# k0 |
5 P  F/ ~) l, Y* W  a, }/ v+ b在進行USB3.0的研發同時,智原科技為了確保客戶能取得強大而可靠的SuperSpeed USB解決方案,希望透過此合作關係,得以進行系統層面的交互操作性測試。而這個合作關係涵蓋投產製造前後的確認。首先,在製造前期階段,智原的PHY會透過Fresco Logic的測試環境,進行部份的PHY測試,以便確保協定IP與PHY IP之間的交互操作性。接著在製造後期階段,智原則會運用Fresco Logic的xHCI主端與元件研發平台及智原的PHY子卡來完成實際硬體的交互操作性測試。經由這兩階段的確認之後,將能大幅降低客戶在運用SuperSpeed USB進行設計時的風險,進而加快產品上市的速度。
4 Q. p( Z. o8 u3 n" Q# T9 I2 t- p1 T
智原科技策略長王國雍指出:「我們在USB市場上擁有豐富的成功經驗,因此深刻體認到客戶在選擇與採用USB時,尤其對於交互操作性方面的要求。因此,我們很高興能與Fresco Logic進行合作,這是智原USB3.0進程的一大里程碑。Fresco的夥伴計畫可以稱的上是SuperSpeed USB市場供應鏈中的一大重要助力。透過這個計畫,客戶端在選擇方案時,得以同時享有低風險與高效率的優勢。而對於智原而言,透過這個合作,讓我們對於即將問世的USB3.0,更是充滿信心。我們也期待未來能與Fresco Logic有更密切的合作。」
! P) E) x' @" n* t7 ^/ Z# l9 u" s/ C# q1 n& M
Fresco Logic總裁兼執行長Jing-Fan Zhang表示:「能與智原共同合作,來提升SuperSpeed USB的交互操作性、進而提供完整的解決方案,對於這個競爭激烈以及需求與日俱增的高速USB市場而言,有其顯著的意義。尤其以智原對於USB市場及客戶端的熟悉度與手感,都將有助於客戶成功配置USB 3.0、以及加速市場對該項新標準的接納!」7 @9 Y& z9 d/ m" ~
6 h5 x7 Y! ^, c6 q; z
供應方式
9 c, f; ]! f0 D! pFresco Logic PHY夥伴計畫:正在進行中,且可以將USB3.0xHCI的主/從端控制器提供給早期採用的客戶。智原科技的USB 3.0 PHY:即將在2009年年初問世。4 W  q1 ^2 A  \" T% _3 J2 n
( R# q9 Q: [7 r2 T/ Z7 O2 E: {
關於Fresco Logic – 將工程藝術化
# W+ }& K  b* n$ |9 g  q6 tFresco Logic是一家針對行動及儲存內容提供先進解決方案的矽IP、IC系統與解決方案公司。由於高解析度影像及大量公眾媒體網路共享內容的出現,促成了市場對於快速連線、以及在消費性電子、個人運算以及行動裝置上日漸增加的儲存空間需求。Fresco Logic與策略客戶及夥伴密切合作,協助建構採用如SuperSpeed USB之類嶄新連接標準的新產品,以及新的應用儲存平台,如行動上網裝置所需的固態磁碟(SSD)。由於Fresco Logic提供了完備的矽IP、IC系統以及解決方案,因而讓產品研發公司能夠自行搭配成本需求,迅速在市場上推出SuperSpeed連線及彈性化的儲存方案。
12#
發表於 2008-8-12 14:13:59 | 只看該作者

Magma發表Knight LogicMap及IntensityMap新一代軟體

(美國舊金山訊)晶片設計解決方案供應公司捷碼科技(Magma),發表旗下Knights LogicMap與IntensityMap軟體新一代版本,將使半導體邏輯設備能更快速並更精確地進行裝置故障分析與除錯。
( b8 r! W$ u4 P3 {  p4 U3 U. h* x5 B& K
升級後的產品所提供的主要強化功能,在於如何把通訊匣層故障(net failure)與內部瑕疵資料(隨機瑕疵)及無效通訊匣層頻率(failed net frequency)(系統瑕疵)進行關聯分析。LogicMap能夠將邏輯通訊匣層翻譯並規格化為物理座標,便利於故障通訊匣層與晶圓廠內部資料的相互關連。同時利用堆疊同一產品多路模具所產生的多重故障通訊匣層之結果,並依頻率進行色彩編碼後,工程師將可辨識系統故障熱點,進而把分析工作重點集中於這些區域。" p. q6 K# i" y$ L7 i4 y
0 a4 ^- k7 C, F8 ?
新版產品的全新互動式IntensityMap結果與Camelot CAD導航的交叉映射功能,將可提供更快且更精確的關閉式迴路分析與除錯。經校驗的故障通訊匣層,可被交叉映射為佈局對比原理圖結果。之後,Camelot工具驅動程式便可驅動故障分析設備,擷取故障通訊匣層的準確物理座標,進而完成更快捷的裝置除錯作業。藉由上述強化功能,IntensityMap可同時縮短裝置除錯時間及設計最佳化的工作週期。
$ x! P% @0 O, q" p' e+ g# a) z7 J" C5 A; D! E3 `+ D" w
「對任何晶片無效的根本原因能夠發現得更加迅速,晶片就可以更快投入全面生產。」捷碼科技公司晶圓分析業務部副總裁Ankush Oberai表示:「有了新版本的LogicMap和IntensityMap,工程師便能利用晶圓廠內部瑕疵資料,迅速且有把握地找出可疑的製程步驟,並大幅降低進行物理故障分析的必要性。毫無疑問,如此將縮短故障分析製程並顯著地降低成本。」
& f, e2 `/ \4 L( m
. b6 `* c8 J, U  q$ N除了改善通訊匣層故障和晶圓廠內部瑕疵資料間的關聯性及與Camelot的交叉映射外,新一代LogicMap及IntensityMap的其他強化功能夠進一步改善自動化、準確性與靈活性,包括透過移植到Linux系統,工程師可使用性能較高但成本較低的硬體設備;更簡化的資料輸入、提高了自動化水準和資料準確性; IntensityMap支援區域分析,並可與具備業界標準的Camelot CAD導航系統進行交叉映射,可改善精準度並提高了分析靈活性;把ATPG支持擴充到包括Fastscan、Tetramax、Encounter Diagnostics、TestCompress和Yield Assist在內的所有主要故障診斷工具。如此將可把診斷結果回饋到裝置最佳化的導入流程中,使設計工作與實驗室和晶圓廠緊密連結,提供真正的可製造性設計。
11#
 樓主| 發表於 2008-8-1 18:06:18 | 只看該作者

捷碼科技(Magma)強化良率管理產品Knights YieldManager

美國舊金山(發自SEMICON West)訊 — 晶片設計解決方案供應商捷碼科技(Magma)(納斯達克交易代碼:LAVA),宣佈旗下為全世界半導體晶圓製造商所提供的可客制化良率管理軟體系統Knights YieldManager®引進全新強化功能。這些強化功能將使晶圓廠的良率管理、瑕疵分析、測試和產品工程師們得以收集、關聯、分析與分享內部度量資料、測試資料及晶圓廠資料。經過對軟體系統中點陣圖像輪廓和點陣圖像載入器的功能改善後,YieldManager用戶將可更精確有效地進行電子點陣圖像失效與線上度量資料、瑕疵資料之間的相關性分析,以提高出片良率並縮短產品上市時間。
! F$ h# G! l/ }. S
+ X/ P( l/ @% w" S2 z在導入強化點陣圖像模組後,YieldManager現在提供更全面完善的瑕疵點陣圖像分析。YieldManager可收集與儲存來自不同測試裝置、進行各種參數測量所獲得之更大量和更豐富的點陣圖像資料集,同時具備更具效力的圖形使用者介面,搭配多項全新分析與繪圖功\\\能,包括從多個點陣影像模具進行堆疊的堆疊密度圖,及依頻率進行色彩編碼的行列失效。藉由上述應用功\\\能及全新點陣圖像瑕疵分析功能,辨識失效位元型樣、自致命瑕疵中區別低優先度瑕疵,以及確認致命瑕疵的進行都將更為容易,進而可提升產品出片良率。* ^; U8 ?. L; O0 V# {/ a2 R
  s, U& K' e8 s& z! M$ z  S5 ^. I
「透過加速對失效根本原因的分析,以及消除在晶圓廠內,運作多重客客戶端伺服器應用軟體的必要性,YieldManager節省了工程時間並集中使用資源」捷碼科技晶圓分析業務部副總裁Ankush Oberai表示,「利用YieldManager的全新點陣圖像瑕疵分析能力,用戶將可執行更精確的分析並進一步提高生產力。”
10#
 樓主| 發表於 2008-8-1 18:05:32 | 只看該作者

捷碼科技CAD導航工具Knights Camelot新增諸多功能選項

Knights Camelot為第一個能在製造過程中利用設計規則檢查(DRC)功能的CAD導航工具
$ H+ w$ }2 O  w0 [) a& Z4 k+ B: r6 R2 o
美國加州舊金山訊 - 晶片設計軟體供應商捷碼科技(Magma®)(納斯達克交易代碼:LAVA)宣佈大幅強化業界標準CAD導航系統Knights CamelotTM的功能。其中,一款新的功能選項,使Camelot成為第一個能讓故障分析工程師在製造過程中進行設計規則檢查(Design Rule Checking, DRC)的CAD導航工具。新的Camelot功能選項加強了設計與製造關聯,並徹底縮短了大批量產良率時間,同時降低先進積體電路的製造成本。% M' C, t4 M0 L6 m3 b7 D( H9 ?% G' x$ ^
+ t. a5 W: F9 G6 O: s
捷碼科技製造分析業務部副總裁Ankush Oberal表示:「Knights CamelotTM的強化與諸多全新功能選項,將使半導體晶片從概念到產品成型所需時間大幅縮短,這是我們在過去一年半中高密集研發工作的直接成果。Knights科技公司在近20年一直提供業界領先的故障分析、CAD導航和良率管理工具。在捷碼科技與其合併後,更使積體電路生產與製造分析得以緊密結合,實現了真正的可製造性設計。」
# L) V" P2 ]- Z( n+ P  Z2 p: h0 B0 J- k6 i% S  F' b
新的「線上搜尋分析器(On-Line Search Analyzer)」選項,加速並簡化了故障分析的搜尋功能。舉例來說,傳統需以手動進行可用聚焦離子束(Focused Ion Beam, FIB)修改區域的搜尋,透過自動化後進而加速且簡化了聚焦離子束修改工作。在這種情況下,線上搜尋分析器會針對佈局檔進行3維搜尋以找到合適的區域,讓聚焦離子束系統能通過電路系統,將離子束投射至影響點,又不截斷或影響其下方的幾何結構。這個新功能選項,整合了多項捷碼科技設計規則檢查(DRC)功能,使Camelot成為第一和唯一能讓故障分析工程師在製造過程中,利用設計規則檢查(DRC)功能的CAD導航工具。有了這些新功能,使用者將可針自行定義各種故障分析和裝置除錯技巧的應用。此靈活性讓使用者能夠縮短設備除錯和修復的週期時間,並降低報廢率(scrap)。
7 g) M8 D/ U  U
# j6 q; [' i. C/ U! G- J! e+ F同時,新的「熱點分析器(Hot-Spot Analyzer)」功能選項可分析佈局中的多重區域,並找到與這些區域鄰近或交叉的通訊匣層電路表(netlist)區段。一般而言,這些區域定義了光子射出(發射點)範圍,然後顯示每個熱點區域中的通訊匣層和每個通訊匣層的熱點數量。這項功能則縮短了利用發射式顯微鏡(emission microscope, EMMI)進行診斷程序的處理時間。
6 f( F5 u# B8 U0 G) }: ]$ S6 v* D6 H4 t' z4 j' V8 k
此外,新的「即時圖像套疊(Live Image Overlay)」功能選項,更大幅提升製造工具平臺系統的準確度,使平臺系統與佈局協調一致。為了解決許多高倍率檢查、分析、探測和掃描電子顯微鏡(scanning electron microscope, SEM)工具可能存在的平臺不準確性,即時圖像套疊功能選項會擷取接受檢查設備的圖像,並將圖像套疊至設計佈局上。然後,利用先進的映對技術(mapping technology),確定圖像和佈局的關鍵共同功能,再使這些功能協調一致。藉由圖像與佈局的一致,將可校正任何平臺的不準確性,以使工具能夠準確地利用設計佈局達到精確的同等調教。6 B; E7 ~, H+ ?2 O1 Q
; i  E9 t% R. }9 ~. n- |
Oberai進一歩指出「這種整合為企業帶來多重助益。原先因無法運作而不得不棄置的晶片現在也能修復而出售,因此降低了銷售收入損失。在設計與故障分析之間建立關聯,代表設計師可將更多的時間運用於設計工作上,改以較少的時間來驗證設計的可製造性。而工作任務周轉時間縮短,則意味著產品可以更快上市,這又是所有利基點中最為重要的。」
9#
 樓主| 發表於 2008-8-1 18:04:40 | 只看該作者

捷碼科技(Magma)發表全新Knight LogicMap及InensityMap產品

提供Camelot CAD Navigation軟體的交叉映射功能 實現更快捷、更準確的裝置故障分析與除錯
3 f7 |0 R0 d' C
+ v6 A# e& [" {# p- F美國舊金山(發自SEMICON West)訊 — 晶片設計解決方案供應公司捷碼科技(Magma)(納斯達克交易代碼:LAVA),發表旗下Knights LogicMap與IntensityMap軟體新一代版本,將使半導體邏輯設備能更快速並更精確地進行裝置故障分析與除錯。* B5 i+ Q; t& |* |! b0 n9 r
% P: e% }& n0 w5 t/ W& |  M
升級後的產品所提供的主要強化功能,在於如何把通訊匣層故障(net failure)與內部瑕疵資料(隨機瑕疵)及無效通訊匣層頻率(failed net frequency)(系統瑕疵)進行關聯分析。LogicMap能夠將邏輯通訊匣層翻譯並規格化為物理座標,便利於故障通訊匣層與晶圓廠內部資料的相互關連。同時利用堆疊同一產品多路模具所產生的多重故障通訊匣層之結果,並依頻率進行色彩編碼後,工程師將可辨識系統故障熱點,進而把分析工作重點集中於這些區域。
3 O( h3 r3 \: J  M$ ]3 Y( W4 X
6 O5 q, d% F+ e新版本產品的全新互動式IntensityMap結果與Camelot CAD導航的交叉映射功能,將可提供更快且更精確的關閉式迴路分析與除錯。經校驗的故障通訊匣層,可被交叉映射為佈局對比原理圖結果。之後,Camelot工具驅動程式便可驅動故障分析設備,擷取故障通訊匣層的準確物理座標,進而完成更快捷的裝置除錯作業。藉由上述強化功能,IntensityMap可同時縮短裝置除錯時間及設計最佳化的工作週期。  G, }6 L$ L* x7 ~+ g

9 k% ?% W2 l- [) V0 E& H& r「對任何晶片無效的根本原因能夠發現得更加迅速,晶片就可以更快投入全面生產。」捷碼科技公司晶圓分析業務部副總裁Ankush Oberai表示:「有了新版本的LogicMap和IntensityMap,工程師便能利用晶圓廠內部瑕疵資料,迅速且有把握地找出可疑的製程步驟,並大幅降低進行物理故障分析的必要性。毫無疑問,如此將縮短故障分析製程並顯著地降低成本。」* ^2 d+ r2 Z2 a8 B* M

, B, ?' @9 h: _/ {2 ^8 e9 E新一代LogicMap及IntensityMap:進一步改善自動化、準確性與靈活性( L( B' a/ C$ Y. [% a. X

0 [9 V& a8 p/ S4 T) R; t, a3 P除了改善通訊匣層故障和晶圓廠內部瑕疵資料間的關聯性及與Camelot的交叉映射外,LogicMap及IntensityMap的其他強化功能還包括:# D! T" O6 {) o5 Y" v+ _% Y

! P% N: I  i& J9 T& R1 x2 D9 b0 ]& f! E� 透過移植到Linux系統,工程師可使用性能較高但成本較低的硬體設備1 e# I& I+ `" m! U8 h2 c
� 更簡化的資料輸入、提高了自動化水準和資料準確性% I. G8 E+ f. P$ `/ X/ y
� IntensityMap支援區域分析,並可與具備業界標準的Camelot CAD導航系統進行交叉映射,可改善精準度並提高了分析靈活性
- N  j0 U& y( @( i7 e� 把ATPG支持擴充到包括Fastscan,Tetramax,Encounter Diagnostics,TestCompress和Yield Assist在內的所有主要故障診斷工具。如此將可把診斷結果回饋到裝置最佳化的導入流程中,使設計工作與實驗室和晶圓廠緊密連結,提供真正的可製造性設計(design for manufacturability)
8#
發表於 2008-7-23 11:28:29 | 只看該作者
捷碼科技(Magma)與Zyvex Instruments建立OEM合作夥伴關係 為100奈米以下的積體電路提供更快、更精確的故障分析
2 G  j" N1 J+ S. S3 j3 `3 ^" gZyvex NanoWorks產品線將與Magma Knights Camelot進行套裝搭配
: H  s) m9 @+ \9 }2 D
2 ~; Z0 f) F' E) z9 J9 \美國舊金山  2008年7月22日訊 — 晶片設計解決方案供應公司捷碼科技(Magma)(納斯達克交易代碼: LAVA),今日宣佈和Zyvex Instruments建立新技術OEM合作夥伴關係,為100奈米以下的積體電路提供更快、更精確的故障分析。根據合作條文,Zyvex將把Magma Knights Camelot™ CAD導航軟體納入其旗艦奈米探測系統nProber™的標準選項。Zyvex選擇了Camelot作為其優先的CAD導航工具,主要基於Camelot的產品穩健性和豐富功能,且在市場上具備優勢領導地位。 + D/ i/ k7 L2 m, t" m- P% a
3 _6 b/ p; w) O
Zyvex nProber主要設計為優化半導體設備100奈米以下的電子探測作業,具有強化的吞吐率(throughput)且方便使用。Camelot可與Zyvex工具進行無縫配合,包含從GDSII或Oasis 檔建立佈局檢示圖、套疊影像至佈局圖,及驅動工具至精確的X、Y座標以進行設備探測與除錯。此項捷碼科技與Zyvex合作方案將可使半導體實驗室和晶圓廠分析小組更快速便捷地標定潛在瑕疵位置,並加速校正作業。 " ?) ~9 [# P5 d- X; f& w( u: y

4 a$ T! ?# O8 @「隨著半導體客戶的製程技術日新月異,IC設計和製造所面臨的挑戰亦隨之愈加複雜、耗時與花費昂貴。藉由Camelot CAD Navigation軟體,我們將可提供客戶更精確、更高吞吐率及更具成本效益的故障分析解決方案。」Zyvex Instruments總經理Randy Schussler表示。
5 T- f: u/ g" z% N8 q8 M% S* S0 @! c; u% l% U8 D: m- b! R$ _
捷碼科技公司晶圓分析業務部副總裁Ankush Oberai同時指出「Zyvex是奈米探測技術的業界領導者,NanoWorks®和Camelot的結合,將為我們彼此的客戶在故障分析上帶來更大的競爭優勢。」 # \! X& s/ z4 C# P* Z, s
- `1 O# a8 M1 H$ j. k, F
Zyvex Instruments簡介
# J$ p9 Z' U+ u( J
! x& u% j& Y" {Zyvex Instruments為半導體產業及高階研究市場提供成套的奈米探測的特性描述(characterization)解決方案。Zyvex應用軟體事業群與客戶和產品開發部門進行緊密合作,並提供客制化軟體、整合解決方案、高階應用軟體及客戶支援服務。其完整的系統解決方案,乃基於對奈米級特性描述應用所面對的挑戰具備深度理解。Zyvex的理念是幫助客戶洞察另一個不同比例尺度的世界,並替未來開拓嶄新的技術。公司網址:www.zyvex.com
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-15 06:02 AM , Processed in 0.152020 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表