Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13205|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作? , f: l4 |8 Y- l
不然如同 jianping讓台灣真的也有一個專業的討論版, Z: k# s  S% j" k: {9 X

5 m6 A5 q% r6 ?6 b尋求學界專家,業界先進們大家意見:
2 k! q: e5 ~2 ]2 g5 w+ m
7 Y0 D% E- R' H3 wchip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?
  ?2 b( u: k* s8 z1 r0 }: R( Achip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?, _5 I: j$ _% v; s4 A. n
3 a: W2 b/ k. c4 X. n
> From: 羽君 0 {8 L" z2 U/ ]+ L! b  M% S% W) m
> Sent: Wednesday, January 30, 2008 4:00 PM
6 e) i) a( @2 }( h> To: chip123王志明
0 J2 D  s# t1 b. `> Subject: Re: about 96年度SoC總聯盟成果發表會
" w! H  d  Z; O0 W9 u8 v& N>
3 j9 N+ ]3 j8 B, Q* X5 Y6 G> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!7 E% B9 A+ x4 g7 Z: X1 l5 J
> http://moesoc.ee.ntu.edu.tw/index1.htm ; w% A0 h8 p) Q. j  r1 l
> 謝謝您!祝您新年快樂~  0 H" v8 F4 k% z" R0 R# P' Q. p% Q/ w  [
> 羽君. _' v2 h5 }9 S$ e% l3 r$ l  k
  x6 v) n# |( ?6 V, `
From: <@itri.org.tw>  i4 G) A3 f$ u0 c9 i/ L
Sent: Sunday, December 16, 2007 11:47 AM
! h7 j3 l" w, B7 H( r/ USubject: Re: TSoCC 回覆: 與Chip123 合作的相關說明
8 P4 r8 I# N: R$ ]# c5 ~% S
' x5 K4 R7 `0 Q4 H( b; f> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).
2 V  ^5 w( a9 y. i# [7 w& R& ~0 X+ H> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.
% Y# R. T. p6 C> TSoCC may have new change within our organization. However, we also have( x+ u9 }; T2 c
> SIPO  (Semiconductor Industry Promotion Office) channel.
5 @" |% ?; H. U: {>
: q/ @$ T: @  X* S3 h4 n# r2 m> Regards,
2 {7 K$ `9 n8 c$ u6 x> & m4 I* l+ `: X. T  g' X
> 安宇 謹上
2 R; F0 A! Y( w. K3 {5 A' Y% N% j
; Y3 f8 g' n0 h1 t& u
# f" k# O3 n" H% y技術創新需多方合作8 T* J0 j" y6 N2 ?2 x6 C8 U/ b  g
新浪網 - 2008年2月29日/ Z( q8 x# t9 }, x' O: }- o8 ]
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 ..." C' E7 s5 P' x7 y) x5 @
/ }9 Z2 E+ A/ _/ {4 b, E+ ~
張汝京:中芯國際「菱形」戰略架構成形
9 h# \/ \4 n  v- _8 T科技網 - 2008年3月18日6 ?/ F6 x8 `# S; B5 Y3 P
張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 ...
. i& ?$ [8 `8 U) e. A8 r8 ~0 B' u4 S! f' p1 J" R) O; [
[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性
* r+ \# H, c# s5 @; i8 P
9 e2 J8 J7 @' K# }學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.
: U+ A$ @/ e! x- k系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向
- u* o4 y6 d7 S2 d1 V+ @  ~* H
SOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論 5 e3 N- z9 a* s
                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  
( S/ c% H  ]# N7 P- i
/ l  O" b. U4 j[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
/ l. k" f" ~# f4 T; s& I: b
- T. ], S7 u) b6 `' j8 d4 [官大智:學術交流 網站發表新趨勢
7 R; c8 V4 Z" `* v% @8 D  f  8 F3 H0 T* F( @: d- w7 b9 ^
學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。 $ L3 V/ c: }8 C3 v7 U8 k: n

8 ]# A% H% Z5 N中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。
8 \  {( H' ^7 n; k6 ~5 k* e* C% r0 T+ ~. ]3 D# @5 T( R. x
一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。    T8 Q; Q2 N. ~/ |% j
  
4 j5 [/ A' F) s$ x( H' ~報導日期:2008-03-31 " v- g+ q" C+ `5 d7 x+ M
新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表1 w4 T; L; a0 e. f

8 V' h/ j8 V9 d
期別
技術領域
題目
作者
003
通訊系統/晶片系統
" A) E4 O1 K2 C/ s
超寬頻技術發展剖析; g3 U; `- [1 q* ?2 p$ L
Development Status of the Ultra-Wideband Technologies3 U  A. ]" R4 t$ T: X. u' `
莊郁民( `5 [, e8 M2 D: g
通訊系統
: l6 Q% [8 T& I* [5 x5 @
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge3 y( Y, Z9 T& E  o5 \7 t/ D2 F
陳慶鴻.呂明和.蔡文聖.廖丁科7 g3 _3 m7 J( f) z; E' i
通訊系統/晶片系統& Q4 C4 j$ R: T, S
WCDMA/GSM雙模CMOS接收機8 y$ z7 J7 y. J3 T2 Y
A CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application
) k" e  F- `8 f3 [% O; _
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅( c6 }5 Q5 ]1 J
通訊系統/晶片系統- W* h" i3 H6 x% Z4 B6 m  v" W, r
應用於超寬頻發射機系統之可程式化增益濾波器. X2 K& [- W) o* K
A Programmable Gain Filter for Ultra-wideband Transmitter System
) q# W2 \( Z8 U
李志常, N2 y& d, E6 A$ o" A
通訊系統/晶片系統( i- v4 W+ d( r6 C; H7 J+ o) p1 T
DTV 調諧器架構分析
3 A0 N/ m$ {& a& }% dDTV RF Tuner Architecture Technology Analysis; \( q+ B1 O2 k
吳思賢
% s- S0 y6 U" x& b/ J
晶片系統3 x' z# S! j: X) M
通訊系統中數位類比轉換器(DAC)之電路設計
2 j  H. T' h$ S  VDesign of DAC for Communication6 r7 [! \' q+ U! [8 M2 C
劉沛潔0 p, i* U) O# q6 k7 {5 l( Z
晶片系統
6 @+ [' s: _" z
架構階層功率估測模擬器
+ M" f0 L3 q" G% j6 C* @- H* UArchitecture Level Power Estimation Methodology
5 x5 b; t4 D- u; F8 D
張展豪.陳玉書.廖宜道
: h) z2 T. c1 n$ F
晶片系統3 @9 y" ^- V8 j9 r
DVFS SoC設計與實現& h: n& z8 y/ \* R$ G8 z8 Y$ g
DVFS SoC Architecture & Implemenation
2 g* e- L- `7 r' n0 {
賴建元.林勁宏
6 w. Q& L6 E3 t% H5 {1 g/ p
晶片系統
6 o/ l8 z& I7 e/ b
平台式DMAC之考量與實現+ s6 H) q7 k7 o
Consideration and Implementation of Platform-Based DMAC
1 V1 Y7 I" c0 l& f5 R! b6 `: L
沈志堅
* d, F5 M8 }& C9 f  P/ D0 I- D
晶片系統
0 F7 u6 C( g6 M2 X
平台式記憶體控制器的考量及實作" t: C: U3 m/ f6 i
Consideration and Implementation of Platform-Based MC
0 A" _2 \* X- Y# N1 Y
林群超
& S( z% h8 O+ ~( c& m* c: }; P# G8 D" F
通訊系統( \' B) O( U2 K$ H! h
多媒體壓縮標準 H.264 面面觀
/ b# y# D8 N: ]( o8 o; _' p0 @1 ?The Profile of H.2643 _/ f" \( l3 {! R* ~
曾紹崟.許志高
3 I* q; F1 U) [2 v% Y% E
晶片系統5 w0 A) R8 t$ Z' k1 J# Y! ~
準確的SoC混合模式模擬1 ]) v8 a! Y% O8 E. s
Accurate Mixed-Mode Simulation for Soc1 y  T7 e' w3 V0 ~9 R$ {
張永嘉.林詠捷.何榮基.羅珮文$ I, |! T7 K: Q, J
晶片系統9 J0 W) s* l. S$ o' y/ q
應用於改善可靠度/可製造性後段設計自動化流程的方法' I  G9 F/ E9 G
Design Automation of Backend Flow for Improving Reliability/Manufacturability/ u6 O# v' a! p  p, ], w
蘇秀雲.黃俊才.彭政傑
5 S, n- j. O! C9 l6 k" [ 9 b8 V; [# W" F' ]/ u" k
晶片系統. K0 g1 `7 f9 h; u9 g7 {
Soft IP Hardening方法與實現1 Y: q9 m. W0 [" C
Soft IP Hardening Methodology & Practice# a6 {$ p( f6 K  O
林勁宏.傅志新0 P2 a6 ^) N( _' U
晶片系統
% ]7 g6 ~' |# X& Y( V
0.13微米混合電壓共容輸出入單元設計簡介
5 Y6 ~; x" l" n: s2 K8 S/ dDesign on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology
- e2 U" J0 |+ `* t; X' K# d8 w
莊哲豪0 R$ ]5 g$ P( h/ U9 f
004
通訊系統
9 q2 u% ?- I8 R9 t$ C; ^  q! q
WiMAX發展之機會與挑戰
( z4 r: I& n* m4 P5 p. pWiMAX Opportunities and Challenges
8 _! O, ]1 w( X- S' q! `$ }
康志堅
4 @5 B$ p( g0 ^. \
通訊系統6 k( _  C3 P5 a" `, t- l7 V
WiMAX 標準與認證簡介
: h$ D' L* P% T: `5 P& sAn Introduction to WiMAX Standard and Certification' V/ l" u" [  ?+ ^- W
甘傑英+ }) D  g' f+ U) w; Q
通訊系統/晶片系統
8 R" ]/ T3 _  s% o: H3 Z3 K
DTV調諧器的低雜訊放大器簡介! `$ q3 |7 U( q" K. G% G& S/ w
Introduction to a DTV Tuner Low Noise Amplifier
" ^& d, T$ v2 |  r* b
李青峰
2 A% v$ H* g1 m6 e) M) U
通訊系統/晶片系統: E$ z7 w. q$ o
全頻CMOS UWB接收機* o0 ^* J$ _6 u/ y* o, X" Q# Z  U
A CMOS Receiver for Full-band UWB Communication Systems
& y2 m& F# \# F4 t% A
王志偉.陳彥宏
. o  M1 ]2 K  s9 g3 t+ h
晶片系統1 g! @. c0 g& f3 |! ^: G
應用於動態電壓調整系統之數位式可程式化切換式降壓器
0 m& k  x5 H+ }/ yDigitally Programmable Buck Converter for Dynamic Voltage Scaling Systems7 @; ~; F4 L5 K
林崇偉# K1 u. l! S, }+ A- S( ^6 ^7 n1 t
通訊系統/晶片系統& l+ f' s2 N2 o0 m" [8 T
射頻積體電路之靜電放電防護設計4 f( N3 H4 s4 S+ E" V. H, p- X
ESD Protection Design for RF IC4 k6 Z( u& h* |! o
黃柏獅.徐育達8 j, W: o' |2 j$ }8 I
通訊系統/晶片系統
' g- v5 b# ]& y" ]
PAC數位訊號處理器! R- M$ w% j8 k7 T- }1 {( ?2 P
PAC DSP* `9 Q9 m# \0 h
張展豪.
7 Q- G. Z6 t; \/ y
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統1 ]) P/ ?  ]& }& c- V6 w
PAC DSP 驗證流程/ b# J3 s* @6 V3 Q2 k, g
PAC DSP Verification Flow
1 S7 ~9 a9 Z# }! K4 c7 ~
廖宜道.
0 {$ h3 k7 U5 |1 m. A2 r( f/ q% h+ B
通訊系統/晶片系統0 _" C: [  _9 F' h+ n/ V6 ^
以系統層級設計方法建立PAC PMP SoC驗證平台
1 j! \( {' w) m9 \5 J/ gConstruct A PAC PMP SoC Verification Platform Using ESL Design Methodology
% a' L0 m( q# p- ^4 l) l( E
陳紀綱.蘇培陞! b3 L) d4 ?1 Z; E. D) J
通訊系統/晶片系統" z: i3 Q, z0 S  p# u8 o
應用在PAC平台上作業系統層級的DVFS設計概論
! o0 P% e8 P% M# R$ c5 K/ h# G: @OS-Level DVFS Mechanism Concept and
7 |5 I: S! ?# N& |7 \5 k" q: ~Methodology on PAC Platform

0 k9 I7 ~/ [/ {0 D" g$ K* E' T
莊維彥.張明偉
5 G; U, v9 Q: o, s, k! m4 Q1 v9 n
通訊系統% [3 B& K! B; Z! ~7 R& M4 `
H.264/AVC、VC-1 與 AVS-視訊演算法比較4 n" V. N3 c# ^& @" @
The Comparison of H.264, VC-1 and AVS-Video algorithm
% j6 [' b. _8 |. C, b
曾紹崟4 H4 P) h% ]" Q1 l' K# p: Q: Q$ l
晶片系統
, E* @2 F; V9 A4 ~6 _
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器4 |( X/ J; T9 Z# Q8 A  V2 s7 @% v
The New Approach of Pseudo Fractional-N; t" W1 W1 J7 \; c6 C
Clock Generator for GHz Operation with 50% Duty Cycle
3 s0 U: P- O0 f$ {: u( k1 F: N9 T! {
楊維斌.陳慶造.郭書菖& @  M1 h: M! D9 R
晶片系統
% K3 c7 o1 J2 N
靜態隨機存取記憶體 (SRAM )中的漏電流控制
1 w0 _( j6 t8 C! ~* F8 u  w, t% FLeakage Control in SRAM
! s' \7 [% |% X' _4 x( m- B
  ' o7 k6 w0 H% k! M2 ^0 c
洪子健
0 ?, m! m) ~7 S# A, B# E1 W + l. Q) g( K$ p) l" l$ M
晶片系統
* v2 d* U% ?* d) N
用於降低峰值電流之相反相位時鐘樹9 ?  S+ F/ |4 j8 `
Opposite-Phase Clock Tree for Peak Current Reduction
. v) l5 P9 x; j. r5 ?
, j* U- @3 e7 X: v4 w
聶佑庭: H2 f; R! ?" q
晶片系統) K5 z! u# G  g' P& T
先進閘級層次模型評估與研究
) z9 B% a. ?: }4 p. bAdvanced Gate Level Model Survey and Research 4 H" @" _: A0 p9 N( j9 J4 p
邱怡芳.郭建興.鄭良加
6 e  T5 @3 Q: |  K# `/ Y' _6 n* d! ]1 u
晶片系統  w% q+ _6 F" J) H$ u5 Q) s
深次微米元件特徵化趨勢與考量
9 i6 n4 S9 ]' J$ a* Q5 B$ yTrends and Considerations for VDSM Cell Characterization3 H) a% m' f1 c6 M
郭建興% R+ N6 t, I4 r6 `+ F6 H' T. X
通訊系統/市場分析
# N$ X  y8 j/ e
DVB-T/DVB-H Silicon Tuner市場分析  g$ i. q. l' y- I' i- O
Market Analysis of DVB-T/DVB-H Silicon Tuner
8 H$ T" y! ^6 x' _. s/ U
洪威+ J3 [2 E) q+ f
通訊系統/晶片系統4 W7 m% Q4 \4 q' Y3 d, d  J( {
WiMAX RF Front-end介紹
! q6 W, g4 n% x3 i  CAn Introduction for RF Front-end Circuits Used in WiMAX Receiver% x1 C+ D* q: Y2 z" A
黃大榮
+ {! [7 J* [3 r# U7 o
通訊系統6 z( V$ n: ]% h; L
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬
$ I6 w3 y# u) I. M- r1 v6 R6 LDownlink BER Simulation for IEEE 802.16-2004 OFDM-PHY
; P+ n! p$ ]6 [
丁邦安.吳家豪呂明和
' L9 ?2 }% m. N! a* I4 P
通訊系統; h+ ~+ z  A: l% ]3 w
IEEE 802.16 無線網路安全技術介紹
4 W, }% P' u# Z& o% q! U; S, `Introduction to IEEE 802.16 Security
6 ^$ p% c# F* X7 b1 P! t: O! R
林頌為* r' @5 H" O1 m& H( H2 I
通訊系統
) m) D8 y* M4 p1 `9 ~  o% F7 k. }# i! Z
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器% H( N- l. _3 F. Z, I. R
Implementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board
: d, l6 L& I4 f% A
唐鴻威.許仁源
1 ]( O0 J- m+ m* e9 y丁邦安1 G- V( g) N4 r: L3 ?
晶片系統' \3 P* }' [6 E- \
一套實際而有效率的處理器自動驗證方法4 S- d8 T  h& s
An efficient methodology of Processor Automatic Verfication" X9 x( r  O9 E# y
劉明倫.吳日昇.林仁傑
5 e2 s) M; E$ o# z
通訊系統/晶片系統
' a! T& \3 y. E5 D2 w; b; A
應用在數位訊號處理器的先進直接記憶體存取控制器; ^/ z- i" r4 k1 E' ~' \) [7 ^
Advanced DMAC for DSP Processor3 T8 R- F6 B9 X* o7 v# O
陳玉書.屠禎繼% A" E' U8 a9 Y
通訊系統
0 p  u% h( o/ p8 y; ~. f
模型搜尋式的可變長度編碼法+ w: o0 ?* j- u7 W) y5 m
A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING
9 H  t) |4 z5 s" D/ `
曾紹崟.謝天威
6 M* A' A# Z8 P# y6 b8 l3 @5 `
通訊系統" I% n! Y* d& l6 Z
超高頻無線辨識系統應用與標籤設計. A9 C% r7 N, z$ M; e
Tags Design and the Applications of the UHF RFID Systems5 L* o. r9 Q) v. D0 B( t8 f! k  f
張守傑
1 @1 }! O3 ]) e/ ]/ |1 A
通訊系統/晶片系統
% h2 ]; t* U9 [. W7 h5 o% j
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS( `& {0 }* C7 E$ M
張用璽
1 o9 L6 t& R2 J
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統* X% R7 ?0 D- L& @
PCIe Gen2 實體層類比前端
; X& \7 u( C, G" ?3 z; jPCIe Gen2 Physical Layer Analog Front End5 b: P- M0 A$ O" K/ S( g! O: n& E# j
翁盟智.林穎甫.陳欣昭.黃立仁
, }; i0 z! `! P- R, r+ _
通訊系統/晶片系統
1 o. C' I6 s, w& f3 h& J
多頻帶鎖相迴路分析及設計7 u' S0 }  J2 m! d0 N
Analysis and Design of Multi-Band Phase-Locked Loop- \' z- l$ p# ?; l- n* Z4 X3 i
陳慶造.張仲宇.卓峰信7 v& B3 {+ Q1 T$ R* ~
通訊系統% m5 j$ U- ~" U/ V! Z
應用於Giga-bit/s時脈與資料回復電路之相位檢測器! i+ N/ h( k2 O  A! s
A Phase Detector for Giga-bit/s Clock and Data Recovery Circuit
- e: W, {0 x% T# `
李瑜.鄭乃禎+ h$ E2 w% B6 n4 e+ Y1 K
晶片系統
7 y; Y( r0 T$ j1 O, s
閘級層次低功率實現技術之改善
- _) |& S* n' E. q# U; q4 gImprovement of Gate-Level Low Power Implementation Methodology
+ r8 S  m% p4 l# `' w
龍巧玲.陳繼展9 r% `9 z5 C7 {: @
晶片系統" U9 W; H/ V1 _6 K+ S# p+ Y% x' E
可製造性設計應用參數的萃取--晶片導線特性可變異性研究
' x5 p" z* l6 r5 wA Study of Interconnect In-die and Die-to-die Variations for DFM Applications$ b7 H" J$ O  }& V7 P
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲/ z( V" f1 E7 P0 Y1 x! _7 O
晶片系統
( Z. F2 V! j3 H5 ~! d
南港IC設計育成中心
/ ^: V( f3 h6 I) EThe Position and the Role of Nangkang IC Incubation Center
0 k1 \; a0 ^2 K: |
陳燕民.黃珮貞.宋瑩
1 x, T: w# v8 D8 B& @
晶片系統
% A" q% g! b# b# y3 A) r4 u
行動寬頻裝置的發展現況與市場前景
7 N$ p; a1 B2 s0 {& \4 ^7 ^Current Status and Market Outlook of Personal Mobile Broadband Devices
1 \' y# c2 X! p6 Z0 b% o6 z" A
朱思穎
" Q1 b2 ]  o8 \% E; S! a: L3 `
通訊系統/晶片系統
* H' B7 R* i+ W! ?. ?
PAC可攜式多媒體播放器系統晶片
- m* H, H+ g; a9 jPAC PMP SoC' d/ D. u9 ^! h
謝天威.紀坤明.賴建元% W3 ?; s. |4 k" p; H  L3 I
通訊系統/晶片系統/ @1 e" m& K8 K
PACDSP MP3 解碼器
* |" i, t5 I# [3 sPACDSP MP3 Decoder' }" z: X. Y% D6 u: ?0 n; u
楊仁魁
: O1 H* d# V* T' ~
通訊系統/晶片系統4 w2 k) V: C+ I% u* D$ o# V$ y
針對多媒體應用之高效能平台式直接記憶體存取控制器
+ x6 m( M' D: j- }+ }An Efficient Platform-Based DMA Controller for Multimedia Application
  Z" F8 g0 q/ ]# }9 l- I% E/ C( g
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟
- _" |& Q& X& C' F2 o' t  R1 _
通訊系統
/ u4 N- u5 c( I
行動式 WiMAX 認證標準的制定現況與動態! m, {: o6 a; h) v
An Overview of the Mobile WiMAX Certification Status8 `6 R$ l" i! F+ G# j
江守平.甘傑英
+ @5 H* F( l4 P9 N6 G* l
通訊系統
. Z  y; Y# ?6 D' }; x3 Y
Mobile WIMAX省電模式簡介
" S9 P& e) ?7 D" Q; GAn Introduction to Mobile WiMAX Power Saving Mode
6 {1 ~1 Z2 a# ^( k
顏鴻傑9 z# g/ ]; Q/ `6 Y0 D$ i
通訊系統
+ h! ~- [1 _8 N9 P5 f$ {8 w* X
空間多工無線傳輸之低複雜度偵測器  n- [  Z3 Z! z5 s$ b, y  V1 C  F
JQRPSD Detection with Low Complexity for SDM Wireless Communication
0 {! j% V9 g& f# w# g) Y" R" m
林心蕾& Q% }& t" D2 a  V$ `; m
通訊系統/晶片系統6 @$ b7 d- H1 ]. z" _- t( I! b
應用於WiMAX發射機之具有直流偏移補償基頻濾波器' C- m; m1 E% a. _& t  h
A Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications
% y# v8 B( p3 p" o* K
郭信宏# J( R. h+ L5 |0 s0 f8 e4 @0 N1 L
通訊系統/晶片系統
+ Y9 c# Y4 R# I5 x8 T
超低功率無線積體電路設計考量
( ]* [( H3 M# l- N7 PUltra Low Power Wireless Integrated Circuit Design Considerations
3 B/ o" W( K# Y' ^
陳威憲.莊凱翔
8 M) W5 {2 V# E) J9 D3 Q
通訊系統
3 g1 O% ^3 C' m: w' o0 z
電容感測前置放大電路之設計
& a6 Z# a$ B- q& DThe design of capacitive sensing preamplifier

" g# p( y3 I% T
鍾啟晨.夏廷魁
7 P7 _- O+ D3 ~4 ~* W/ j
晶片系統
) d8 h  K, `4 S+ `0 f/ {5 E
實現在90奈米製程之低電壓數位類比轉換器# v5 G+ b$ f& j& X
A 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS

) q, i9 |& F& I  E
游爵豪+ @* y" I% Y" h8 p
晶片系統
( _% o3 g( R+ X& G% Z
90奈米多功能可控制輸出入單元設計簡介
2 e0 Q$ V& x! U$ dDesign on Configurable I/O Cell in 90nm CMOS Technology
# l  n0 R/ c) U9 g$ L
梁詠智.黃清吉.胡芳綾,陳佳惠
/ i4 Q9 R( }; `3 Y, e
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統
9 Z0 R1 W: a8 R0 d
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路
: G3 d* _5 X" B& \/ O. |% p/ p7 ZA New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler
1 Y) b/ r6 o" R6 I
趙廷昇.張仲宇.卓峰信
3 u1 }" |/ O: X$ ?7 P( Q$ \5 o/ P
晶片系統
( M* c7 J0 X; n9 ?* P5 z1 U
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers
0 P0 z2 r8 P: _: k
聶佑庭) z- e' t* a. I% X  G' H
晶片系統: K+ ]' u* O1 H& G: d
使用電子系統層級設計方法開發雙核心系統晶片平台# W! h5 ^% ^! W& s7 z. l7 l' m# k
Applying ESL in A Dual-Core SoC Platform Designing
1 D  |6 I; `2 ?% o8 A6 b; J3 A
蘇培陞.陳紀綱.林士哲+ t7 y& H# |( d) b6 t
007
通訊系統/市場分析+ z4 D( {5 T; G% Y
行動式WiMAX市場展望. `  e0 `9 g, l. D0 G- b% Q1 n$ }
Market Perspective of Mobile WiMAX
/ v% u7 Z& i7 i, |& N
康志堅
' L# U7 [* \& u8 }( `
通訊系統通訊系統/晶片系統
1 ]5 F* O8 B% [/ @5 {& A1 N9 O' f
AAC Decoder在PAC DSP上的實作
  Z3 `% K2 ^0 O& XAAC Decoder Implementation on PAC DSP" N0 H) u3 N2 M8 i* Y1 J+ x9 S
劉俊男.洪瑞鴻.蔡宗漢
$ Q. Q  ^( Y6 k7 ^- N. J
通訊系統通訊系統/晶片系統
3 [" n1 B' M% U5 @9 b7 x& T0 b
JPEG 解壓縮在PAC DSP上的實作6 `5 i, u/ y, \& H: r5 r9 ^* A3 l4 H
JPEG decoder Implementation on PAC DSP
+ v# t' ]7 }7 T4 a
曾紹崟.范益瑄) J2 t2 ^# e# K  v5 w" O. A0 k- V
通訊系統通訊系統/晶片系統' o0 u& A  s! H  L( |3 F+ h
網路電視應用在PAC SOC平台上資料流程與流量的分析
2 R9 @0 j2 Q4 x6 H1 rData Flow and Analysis on PAC SOC Platform for IPTV Application
0 D- c1 j4 z) k. p6 H# ^
陳澤民.楊仁魁.曾紹崟
2 c1 A& P; R# S5 p9 a
通訊系統
/ \6 _% n/ \. ^" C( _/ N
WiMAX媒體接取層自動重送要求機制簡介  }( s, V& N7 z" W- ?
An Introduction to WiMAX MAC ARQ Mechanism9 f/ V) d: q) u1 D3 F$ Q9 G* a
游文章
( q: F7 m' s7 c
通訊系統% b3 @, @+ G* S; W+ g0 _4 ~* \
IEEE 802.16e硬式換手機制之簡介
2 ?  d9 h: D. s* [Introduction to Hard Handover Mechanism in IEEE 802.16e

9 ?6 |2 Z$ n, s
陳富城7 h1 f# Q' x9 v8 D6 i
通訊系統
/ i5 ]7 Y6 }: |3 k
WiMAX系統下之多重模式通道估測及追蹤  i' P* A$ I5 O4 r9 b7 n* M
Multimode Channel Estimation and Tracking in WiMAX System
! h2 b" y% t+ D* O) }  ]2 y) o
謝雨滔.丁邦安.陳治宇/ U, i) x+ G! ?; Z8 [1 D& ~& t
通訊系統/晶片系統
; D* [  a5 Y1 L7 \5 ~
應用於WiMAX系統之高效能可規劃FEC架構設計$ t. R7 r/ M& d( U  }' y
High-Performance Reconfigurable FEC Architectures for WiMAX4 u1 n, m9 @$ v7 ]) [6 d
朱峻源.林承鴻+ [/ D  f& b% E
通訊系統/晶片系統; |( P4 J4 H+ H, W# P
WiMAX RF Front-end Transmitter介紹3 E8 m% K. Z$ F2 Z2 `
An Introduction for WiMAX RF Front-end Transmitter

- q- O0 {& V5 \$ y& C
李仰涵6 j% {: C! c6 h" m
通訊系統/晶片系統. G$ m" P+ r4 X5 e
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX: u8 F$ t! c0 P
A 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX

% a9 f6 n& \7 e* n7 g' H
陳玟蕙
7 r* K  B, r% r2 L' L2 @/ j
晶片系統5 \5 |8 W( R# ^( Z- \: ?
管線式類比數位轉換器功率最佳化模型
- b  l* `4 K7 b& vPower Optimization Model for Pipelined Analog-to-Digital Converter
3 d+ X/ z6 f( N' L
陳以勛
% ~" T8 F$ \/ ^" T, d! }) V
晶片系統7 e9 \- r5 ^  B; @  C# c
超低電壓電路分析與設計4 A, J3 S! X& t+ J* C
Analysis and Design of Ultra Low VDD Circuit

: A  D: f% I, {, o# D/ {1 h' b
趙廷昇.張仲宇.羅有龍
) ?4 T: d% `9 y  N+ |& J
通訊系統% w, c! I8 c. Q% i. \! X
高效能通訊系統之位元錯誤率測試策略
& c9 C5 e9 {0 ]9 \2 A/ @! ]BER Test Strategies for High Performance Communication Systems

# j' c8 l7 ]0 x" z" q
李瑜.鄭乃禎.陳繼展
3 F4 C  Q% l4 l# E5 z
通訊系統. h0 `; s4 g; v) n# @+ g7 Y
異質網路間的無縫式多媒體傳輸' h* T2 u6 a4 A4 w8 P/ k
Seamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network7 z/ x9 b8 O2 L6 T
黃永順.程永華.蔡宗霖0 R3 P4 ~/ e0 M0 `- m
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析/ ^; e5 t* w& W8 a0 }+ ~
數位行動電視市場分析
3 E: F. V5 T' ~( P% OMarket Analysis of Digital Mobile Television
) c) G3 Y$ H, p' E0 D9 Y* f, U' u2 Z3 t% H
李桂華
6 @5 Z8 q$ S! p% H- L
晶片系統/晶片系統
% M7 ]# T6 N5 e
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」+ \6 z/ U. b- L# d# y5 g. t* F9 X
Overview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform
$ D; M  F0 k2 S  H3 w$ ~& t
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華
' X4 u4 B, y8 k
通訊系統/晶片系統
5 B. M) I% Y" U. h
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器9 V/ t( _  X# |7 b' X
Frame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform
  z7 z2 H/ o* u, B
曾紹崟.張明偉# A3 U- q, q' b2 t) T) |7 e
通訊系統
( v, A) m' g2 n
PAC Duo SoC系統網路頻寬分析
9 J* R7 ~  M9 r( `System Interconnect Bandwidth Analysis of PAC Duo SoC% s' T% Z0 H9 L+ f0 Y
紀坤明.李國丞.黃保瑞林周坤/ I, |; g0 t% d5 }+ G
$ g- d2 Y3 b  E" I' N" s
通訊系統/晶片系統( t! A1 R1 Q- W4 {& N( z- d8 i
IEEE 802.11n基頻訊號處理技術& s2 {6 T! b2 z. t" Q9 f
IEEE 802.11n baseband signal processing techniques8 X, U7 A- h5 g5 K
陳治宇.石韻宜.王志凱丁邦安
/ x1 g5 S9 e0 ~" Y. ~1 W
通訊系統/晶片系統
6 [6 u3 F8 w$ g8 i! _
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路
3 O4 ^3 x3 a: _/ F' L6 HCORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver/ K7 [- I2 I  B& N& ]/ l
陳楨明.陳逢期
8 P$ P, L: O3 |/ ?- ]3 v1 Y
通訊系統9 F" _1 ^( @4 e2 l! y- ]+ ~8 k
利用QoS參數之分類排序以建立WiMAX省電類別之方法3 E% ?2 B# ], ~
A Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX0 M; z! B2 Y; k6 W8 c8 j6 u. i
康 諾.顏鴻傑.邱玉敏. C" V/ r' M8 P  D1 [* L  M. q# H5 ~
通訊系統/晶片系統
. H& i* K% X7 n; P  O  L# T* v* B
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹
$ ^/ o$ ?/ z4 a9 M0 Q! ?An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method
1 K* ]% }9 v, q+ t( m+ t
許漢州; S: R8 s& o" x  [% Q( X
通訊系統/晶片系統
# @$ Y6 n* u1 A0 i* Z/ b
應用於超寬頻數位類比轉換器5 x( s3 B: C, T" w" T" R% @6 S
A 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers: h) N# P! Y$ k8 X( ]
林書民.謝青玹" Q4 i% n) v$ `
晶片系統2 l% S! c. i# J, ^$ R* Q
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器
: f1 q5 e6 s7 b' ~( o( }A Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers 2 u+ ?8 Q/ `- N& c) Q) U+ x) I' ^
陳博瑋.鮮思康) [6 A" g. @: n8 w+ O
晶片系統4 E; v6 k% L$ ?
超低電壓300MHz 8X8管線式乘法器之設計7 |& w$ g1 |5 O5 |) H2 ?1 n2 v
300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage ; |0 p  M; l$ [' z3 e/ ]( G2 \
梁詠智.黃清吉6 _9 n3 k& ~( S2 z+ f( D  w
晶片系統
8 x: p8 H4 P* f! k- g
具有寬頻操作與高解析度之內建式抖動量測試技術  U6 D% f( ?* H/ r. ]9 Q+ I
A Wide Range and High Resolution BIST Technique for Jitter Measurement
; k- w3 U5 V4 y7 M
李 瑜.鄭乃禎.陳繼展; o9 u9 Y: i8 Y
晶片系統
% Z9 z9 c9 D6 w" a8 Q8 b7 w
考量雜訊電源供應網路設計之快速佈局規劃
$ \) P8 Q% q7 ?. P9 qNoise-Aware Floorplanning for Fast Power Supply Network Design; _, k3 A1 d8 n" b& r, A
林昌賜
) A% \  {+ R7 m2 Z/ E
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。
9 A7 @. T+ q: f2 x
5 @8 u3 V9 c/ E" w$ k% I8 S9 \本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。
" N* Q' a6 M7 ^9 G
. g1 u5 I% a# _/ X# Y+ J( ?每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 08:18 PM , Processed in 0.151519 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表