Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13181|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作?
2 y% I, m  N$ l  U# R+ L5 N不然如同 jianping讓台灣真的也有一個專業的討論版/ r0 E& R5 C7 [7 _

0 c1 D7 S& a  J- I7 c尋求學界專家,業界先進們大家意見:; E5 {0 {4 Z* m& I' C
+ [' o& J8 t% {
chip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?7 i/ m0 O' r( Y$ E$ i' Q
chip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?
+ a1 v* ?) s8 b1 z. x% \' ?/ I& Q3 G, V$ `1 U- Y% M3 m' f! q
> From: 羽君 3 A% J6 l9 u0 P" @* u- r
> Sent: Wednesday, January 30, 2008 4:00 PM* H* i* C% h7 T3 }% c/ T
> To: chip123王志明
) E4 H1 W  w/ L% [& P> Subject: Re: about 96年度SoC總聯盟成果發表會
( w) Z8 I8 ~% W9 L, d>
0 ]2 ~' P4 x1 l1 Y2 [* r" ]) E7 q> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!
/ G2 o, T9 N+ Q6 P- I, A3 w( d+ ^$ Q> http://moesoc.ee.ntu.edu.tw/index1.htm ) C3 ~' s( G& P7 F% ^) ~: L
> 謝謝您!祝您新年快樂~  
( Z: l. ~0 c5 e( V1 R  q9 E> 羽君
; f+ b, o; U* t0 j0 R+ S" c3 h
2 ]* N, \7 p' Z% H# q7 k' T- GFrom: <@itri.org.tw>% T* O3 p- L% `/ B& s. G
Sent: Sunday, December 16, 2007 11:47 AM: {; @: T, G/ ^5 Q! C) K( }
Subject: Re: TSoCC 回覆: 與Chip123 合作的相關說明
' D6 x3 ?' g, h* J( ^" s6 [
0 i4 v3 U1 [2 W8 R5 ?  ]> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).
2 e' U- b! n6 I> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.9 d$ F3 N: a/ A3 z4 Y' \
> TSoCC may have new change within our organization. However, we also have
1 m( }/ O1 B& c3 U& G> SIPO  (Semiconductor Industry Promotion Office) channel.
# h- K, ]! }5 Y* q3 D. p>
, F  U6 I4 W- X4 r5 l> Regards,% y. O7 _2 o% k+ c; b7 J( k
> " c" |! W: G/ @
> 安宇 謹上
8 t/ u5 S! z: `" g3 N/ ^& a
; N  d$ h$ q; o- z6 f% y# l; x. I1 O3 \( a) c: Y
技術創新需多方合作/ W/ r9 G  G$ ^9 C
新浪網 - 2008年2月29日6 A0 v' ]+ l* u  v& [
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 .../ G7 S" J  O: a. K- o

, H1 ?9 D( \  }1 N! e% h4 @張汝京:中芯國際「菱形」戰略架構成形4 @9 I5 |3 O2 Q% ^' S: V/ r
科技網 - 2008年3月18日
: f' r  r1 Z. F( t( s  [張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 .../ Q3 w( R# C- Q. p

' c  m- s* i, N& t: @[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。/ z+ q  V1 I; o$ ^% V8 k

7 `; T% {+ T% M4 ]0 b本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。8 r2 p3 n9 `+ y- q" @  R! H  S+ V# l8 S
' [" M6 X( R, g# ]7 ?4 c
每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析9 k6 X4 E  f( R8 M# u3 ?  k* N
數位行動電視市場分析
0 n+ G& Y- [1 m$ S. d2 t" yMarket Analysis of Digital Mobile Television

* M, B( }$ Q4 H% I5 L
李桂華( l4 |6 B2 T9 u7 q* \% x
晶片系統/晶片系統
. X! e& P3 V1 k& @' \
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」$ C3 K& n+ `/ v4 z
Overview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform
% v3 H$ o1 N0 }  O
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華& I% z& t* _% H$ B
通訊系統/晶片系統5 _8 p5 I; I: m) i6 z
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器
, N7 E& e0 v$ Q% t* y. {Frame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform! J7 ?$ }' Y& \+ c8 Z* t/ L' J$ l
曾紹崟.張明偉
" v4 O4 D+ G' W
通訊系統
- Y: v; b2 @1 _3 h7 d
PAC Duo SoC系統網路頻寬分析; t! R, s1 R8 |7 f. k; u" H
System Interconnect Bandwidth Analysis of PAC Duo SoC! W% V3 t4 g0 Y( J1 p0 u3 y
紀坤明.李國丞.黃保瑞林周坤
9 p7 P6 x& [7 Z" [6 b! C0 O
* d1 \. Y/ }  x8 z4 B
通訊系統/晶片系統
  M2 }* a* ]+ c/ R! B  n; T
IEEE 802.11n基頻訊號處理技術( Z1 H3 {, ^- O+ O0 \/ Y  m
IEEE 802.11n baseband signal processing techniques
( i% v: @4 i8 H' ?% u. v' z$ M% V
陳治宇.石韻宜.王志凱丁邦安
5 {; f) `0 J6 H8 v; }4 @9 @& S, v
通訊系統/晶片系統( m/ }' s- U3 b8 ~. Q) [) P
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路* }: d  u  w+ p, n
CORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver
1 c/ ~) h4 d" z8 M2 d" b- R
陳楨明.陳逢期' I9 D! W0 _5 m- u0 }) K& F5 P
通訊系統
' \( d( a; t0 O0 Q9 J
利用QoS參數之分類排序以建立WiMAX省電類別之方法0 r' t+ l" r4 Z5 Z4 H
A Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX7 w+ [: m. B8 v- K6 s$ f% o7 E9 c% C8 I
康 諾.顏鴻傑.邱玉敏
6 X3 t4 Y. U8 ~' j
通訊系統/晶片系統  _! L9 M# p8 E, z8 k$ \( S9 c
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹- U8 Z, c3 w. \) x9 S) O1 d. _
An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method
  ~2 Z( G! ]% h* h$ p
許漢州" p0 n( ~5 k# L  B
通訊系統/晶片系統; h% {3 c; C* A
應用於超寬頻數位類比轉換器( A4 m3 e% K6 L+ a; |
A 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers8 N& Z& v% ^" H9 a$ h- A. o4 }
林書民.謝青玹
7 y' z' E. }9 e+ c: P
晶片系統
$ p$ t: Z9 i8 Y: _: L& o0 ?
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器7 e4 b* J( \* I& P6 U+ T0 U4 ^% d! i
A Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers
! B, a8 {3 }0 _
陳博瑋.鮮思康* g4 p* a- O" H; v4 x! ]
晶片系統$ }2 W9 D$ E0 V$ I, N/ [& L7 T
超低電壓300MHz 8X8管線式乘法器之設計) J2 U3 ~8 Y" h# i$ D! {
300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage
# J$ f7 b  F, F& A6 {# T8 \3 H/ L
梁詠智.黃清吉) J- G" i* x9 V# H: M! f
晶片系統" P' ^; \5 w) G' g$ _1 l$ m
具有寬頻操作與高解析度之內建式抖動量測試技術" y- i/ j' J$ Y) n/ S- x
A Wide Range and High Resolution BIST Technique for Jitter Measurement 5 x, _& [0 V- E" [
李 瑜.鄭乃禎.陳繼展
- e1 I/ |/ a6 I2 F+ f
晶片系統
* I  B- b5 U) s6 c  I
考量雜訊電源供應網路設計之快速佈局規劃
1 t# V8 z/ M# {Noise-Aware Floorplanning for Fast Power Supply Network Design1 a) q1 Y" U3 h) s$ C! o: N
林昌賜
+ d+ C; I8 a. H1 w0 `  k
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統. K$ r5 N7 A  @2 N, Z# |
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路5 t5 e5 R3 P8 U" f8 F
A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler

# o/ l9 M/ c8 m+ v
趙廷昇.張仲宇.卓峰信& G* O4 G! e, D6 h- o8 {8 @
晶片系統# g% f' g9 X/ C* X' N; v$ T3 B
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers  D" ?4 P& q. \, E, H  Q) v
聶佑庭
7 @: {- R( A$ n9 n! N4 f/ k
晶片系統" B. d9 _$ ~4 P9 h' H
使用電子系統層級設計方法開發雙核心系統晶片平台+ B5 b: l% {$ W7 w
Applying ESL in A Dual-Core SoC Platform Designing
4 a/ L( R1 ?+ Z' Q  _
蘇培陞.陳紀綱.林士哲  Z6 |$ Y+ N9 S" |  Z
007
通訊系統/市場分析4 i& G1 ~* M/ k. v7 c6 J
行動式WiMAX市場展望4 M2 s) i' T* G( c( |0 q! Q, w
Market Perspective of Mobile WiMAX
7 \3 T5 y7 T' Z  I# [/ v" e
康志堅  A) f6 N) x, D: O
通訊系統通訊系統/晶片系統' V5 P7 m- v  p$ c- l! N. b7 r
AAC Decoder在PAC DSP上的實作
& ]5 Y; g% K/ m0 j1 \AAC Decoder Implementation on PAC DSP* r! m' {/ V. J  g/ X' J
劉俊男.洪瑞鴻.蔡宗漢: ]+ z4 I3 l$ D1 W
通訊系統通訊系統/晶片系統' R) V1 h/ i2 h
JPEG 解壓縮在PAC DSP上的實作
6 D) O2 U% {  N! f3 ~/ s+ Z( |) F- LJPEG decoder Implementation on PAC DSP4 y+ Z" H8 y  t9 [& Y
曾紹崟.范益瑄6 c, Y. c5 M5 U3 b; }$ [7 |- z
通訊系統通訊系統/晶片系統% g( @  K# k+ Z/ m! [$ a4 x: h
網路電視應用在PAC SOC平台上資料流程與流量的分析" b) Q5 c: o6 q! k8 O1 y/ k: k. X1 K
Data Flow and Analysis on PAC SOC Platform for IPTV Application
; v7 `+ e5 {( Q5 G% {7 @1 t* P
陳澤民.楊仁魁.曾紹崟/ j/ p% B: B: [# Z7 ]& Y" k
通訊系統' t) |# I+ ?3 S
WiMAX媒體接取層自動重送要求機制簡介' Z2 g/ O2 M4 I" `- ^3 E
An Introduction to WiMAX MAC ARQ Mechanism
/ s  @# e1 S; N1 g4 q7 n$ y, u
游文章
7 M) o( V% E/ @( P8 M
通訊系統7 N8 E; h( w+ X. w
IEEE 802.16e硬式換手機制之簡介+ {3 p0 q0 T) c# t
Introduction to Hard Handover Mechanism in IEEE 802.16e

8 ~  Z8 C3 L7 y" Q) J+ m
陳富城
1 |5 c3 F0 X, e7 [3 Z: q: C* u  D
通訊系統
+ G. ^& p# r& g" H7 x  F
WiMAX系統下之多重模式通道估測及追蹤+ K2 g& _, v0 S- {
Multimode Channel Estimation and Tracking in WiMAX System
% U" ], {, _7 v, M4 e( @% n
謝雨滔.丁邦安.陳治宇$ C* w9 S0 ^  _) \
通訊系統/晶片系統
2 _6 Q) |# {- B, e- T' B  s
應用於WiMAX系統之高效能可規劃FEC架構設計* g! ^/ j- `+ M7 F+ y0 J7 h+ t
High-Performance Reconfigurable FEC Architectures for WiMAX' I6 t3 t9 p( q; ^
朱峻源.林承鴻! I  h6 L$ j4 x( {
通訊系統/晶片系統
2 Z1 z; E) d+ Q8 n7 ^& N- L6 k
WiMAX RF Front-end Transmitter介紹; p" E! M' @+ R& z
An Introduction for WiMAX RF Front-end Transmitter

9 D: F6 t3 o3 `) K7 S# O
李仰涵
3 r0 G+ ~+ d' h9 o4 j3 n
通訊系統/晶片系統
4 g$ C2 s9 Q" l! C$ P; y4 n
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX  z) M/ k0 X( _9 ^- W. l& `
A 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX
6 g+ c9 L3 H$ J6 s. F, A
陳玟蕙1 S9 K' I/ `8 V# {- p
晶片系統
, E4 Q% q3 i: n8 K+ s$ \
管線式類比數位轉換器功率最佳化模型
0 c6 H. w9 v& x4 K) PPower Optimization Model for Pipelined Analog-to-Digital Converter

$ q3 I" f7 ~. H4 e2 Z0 k9 t
陳以勛
* ~! r$ d- G# s8 r9 z$ k7 h
晶片系統
$ t  L" A/ ?  T- s+ [
超低電壓電路分析與設計
* r' R4 r8 K& |  G- j# TAnalysis and Design of Ultra Low VDD Circuit

- _( W: b1 n; z2 _# k& p: Z1 u  V; C
趙廷昇.張仲宇.羅有龍
8 F6 p" p* i$ |$ U
通訊系統
, D  Q/ K) b. \  R+ j; @
高效能通訊系統之位元錯誤率測試策略+ N1 L' m1 Z0 n+ i
BER Test Strategies for High Performance Communication Systems

% \6 U: O; R% s, M
李瑜.鄭乃禎.陳繼展. F& Z, j# Y, _! K+ _
通訊系統: `. M% J6 O; [
異質網路間的無縫式多媒體傳輸
1 n6 |! S( K! zSeamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network
( E; M7 R4 c% q/ T" I2 I
黃永順.程永華.蔡宗霖
( @4 ~$ ^: @' K& s
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統
. K, |; L  x' D# u3 C4 \
PCIe Gen2 實體層類比前端% ~7 _- ^" m7 k' Z
PCIe Gen2 Physical Layer Analog Front End; m. o2 R/ \) u  f7 w
翁盟智.林穎甫.陳欣昭.黃立仁
4 a7 g9 O( K; \0 W' N
通訊系統/晶片系統
; f9 H7 m+ K7 S& ^
多頻帶鎖相迴路分析及設計! k/ s3 O2 E4 ^6 b4 j' f' G) ?
Analysis and Design of Multi-Band Phase-Locked Loop
- m, t, M( X1 y' g  M3 Z8 D2 [
陳慶造.張仲宇.卓峰信
8 \9 \2 t7 ~5 N8 {+ b0 r
通訊系統
6 {2 A: j, G* Y5 H4 S
應用於Giga-bit/s時脈與資料回復電路之相位檢測器# A# Y7 x. f, O
A Phase Detector for Giga-bit/s Clock and Data Recovery Circuit/ ^  {$ h( m  F& @0 j, e
李瑜.鄭乃禎
) W! h  L3 d9 u8 j$ ?
晶片系統, F; |. V& `% J3 }; `  A
閘級層次低功率實現技術之改善! R. F1 m! N# l$ F, H; `2 l
Improvement of Gate-Level Low Power Implementation Methodology# d. F* k5 n: e- D2 I3 x3 v- q8 b
龍巧玲.陳繼展$ Z* F5 U  J; M  ?- m! i: L/ W
晶片系統2 Z5 ^; p) u3 K$ [0 _) E
可製造性設計應用參數的萃取--晶片導線特性可變異性研究! w% ~, q( e, s4 T) X+ Z
A Study of Interconnect In-die and Die-to-die Variations for DFM Applications
+ v8 n; n) `6 N: U6 L* `+ e8 T
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲
1 e9 d, \# T  h5 n$ F7 w: W
晶片系統
) p  f1 B' ~5 i; M* m1 M
南港IC設計育成中心3 ?" E: P* G6 q$ R0 k$ G8 O
The Position and the Role of Nangkang IC Incubation Center: D2 m# k& Q0 [1 d
陳燕民.黃珮貞.宋瑩
# e3 B% U' \3 ]: y5 k2 F) V. Y
晶片系統
! H- ^/ j. f6 Q
行動寬頻裝置的發展現況與市場前景
# z: ?9 O5 `% T% gCurrent Status and Market Outlook of Personal Mobile Broadband Devices

  U4 i; P+ _9 X! w3 _8 q. o2 x0 M
朱思穎
4 \( A$ |$ Y. t) ^! Y% ]5 Z9 q3 a
通訊系統/晶片系統* f$ P7 ], `* J+ J7 ?5 H; U* |- M# I
PAC可攜式多媒體播放器系統晶片
& d3 L% P- [) B+ `' cPAC PMP SoC3 {: c+ l! D  U5 b+ a/ X
謝天威.紀坤明.賴建元! H5 @4 \/ `$ v! e4 {! o
通訊系統/晶片系統
: [+ M& Z1 t6 k* k; i9 l+ \
PACDSP MP3 解碼器; W1 L4 D" E2 Z
PACDSP MP3 Decoder
6 \7 c, B" g" [: z9 w
楊仁魁9 @  u' o- H. B9 U
通訊系統/晶片系統
& K- ~$ L* P$ g; i7 W' J; `
針對多媒體應用之高效能平台式直接記憶體存取控制器+ ]" n0 r2 p, E" B0 n. [1 G
An Efficient Platform-Based DMA Controller for Multimedia Application
" H7 G* d, W; w7 ]
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟& h, f" _. k5 Q; X
通訊系統
: J6 d3 a2 h; E6 X/ C& r
行動式 WiMAX 認證標準的制定現況與動態! n' a6 B) w- P1 y
An Overview of the Mobile WiMAX Certification Status6 g  u5 _0 j" c8 Q& e5 |6 t
江守平.甘傑英
! [. Z, i& H: O! }, n7 t) p' Z, Z
通訊系統$ Q" o7 S1 G2 r& a* P6 J; V
Mobile WIMAX省電模式簡介) ]; o) A) ^/ _6 u* W
An Introduction to Mobile WiMAX Power Saving Mode

0 S. W! O1 k4 T
顏鴻傑
! E: D$ P" _( A
通訊系統8 L6 D) k3 D0 {- n7 {, @; t/ e% q/ t2 c
空間多工無線傳輸之低複雜度偵測器( F0 n* b* M7 C0 C, m; }
JQRPSD Detection with Low Complexity for SDM Wireless Communication1 V3 [- U$ @  n& q0 H* V
林心蕾
( t6 b! Z' |) ?4 [8 q
通訊系統/晶片系統
8 L+ }1 M3 G/ P  y
應用於WiMAX發射機之具有直流偏移補償基頻濾波器" I6 i  W& v1 _4 A
A Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications2 a3 \# z9 K2 z- Z$ [: u- P
郭信宏
, o" s  I% O- h) z+ G+ R* h4 D6 O/ {
通訊系統/晶片系統! v' t/ Q, I2 }/ d+ J  H
超低功率無線積體電路設計考量9 A7 q9 T  q8 a+ y! a
Ultra Low Power Wireless Integrated Circuit Design Considerations

/ D- o+ A. K. X/ B$ d; v- A
陳威憲.莊凱翔/ f2 n+ n9 Z6 J7 c% H! K
通訊系統
0 k2 z* c. @: Q/ o! J9 x
電容感測前置放大電路之設計3 t8 S. }8 V  {* Q7 g
The design of capacitive sensing preamplifier
# S4 s* ]3 V4 g% {9 I0 n
鍾啟晨.夏廷魁7 A% C; g& o4 w0 x3 c
晶片系統
: h2 M% m9 M- h$ m: D
實現在90奈米製程之低電壓數位類比轉換器
  d, T+ L" A. M3 t! g' f: U& tA 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS
2 H5 z* L$ I! G( N; L% R/ h$ Y# w
游爵豪
5 n# e  R/ N7 |6 L
晶片系統3 _/ D. h3 T0 t0 j/ l
90奈米多功能可控制輸出入單元設計簡介& d( q7 N8 q4 v8 b6 C" u3 b
Design on Configurable I/O Cell in 90nm CMOS Technology

. t* W$ I/ E4 |/ o' k: I. N
梁詠智.黃清吉.胡芳綾,陳佳惠
; t4 o( [2 }% G' \
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統" J  y  g+ M. w* y" |
PAC DSP 驗證流程6 ~2 v( X7 d/ S- R* j2 {
PAC DSP Verification Flow
' O0 E7 j, N' T
廖宜道.1 n; l% o. D; v5 ?: a
通訊系統/晶片系統
/ k! u3 I+ \% s4 {) r) r) K1 k  Q
以系統層級設計方法建立PAC PMP SoC驗證平台
5 y, Z# ^6 n: x" J7 A+ t5 XConstruct A PAC PMP SoC Verification Platform Using ESL Design Methodology
9 L) i5 x+ k; c( V2 j$ P* Q1 J
陳紀綱.蘇培陞5 T4 E1 [1 d" x* M$ x/ d
通訊系統/晶片系統8 m) _# n+ v; X+ a9 l& D5 p- B
應用在PAC平台上作業系統層級的DVFS設計概論! ?+ ?. M* Q- q4 [
OS-Level DVFS Mechanism Concept and
0 ^" c+ N- j9 q$ n% W6 u" A4 p( jMethodology on PAC Platform
3 c4 c* z5 P# Z: \
莊維彥.張明偉
. a/ \0 w0 k; }" Q
通訊系統
3 g/ i2 X3 w/ i1 D3 }
H.264/AVC、VC-1 與 AVS-視訊演算法比較
; d" F9 T1 s) e5 S( D4 MThe Comparison of H.264, VC-1 and AVS-Video algorithm
; ]$ U3 u5 l) e1 W7 |. T
曾紹崟
# i9 M7 d1 i1 z) U. P4 J
晶片系統' A* N9 D8 L0 D0 n: `
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器
+ d$ O6 D/ x: [3 f. C  @  lThe New Approach of Pseudo Fractional-N9 n2 v9 N4 ?: u8 D- C- q: i+ Z4 O
Clock Generator for GHz Operation with 50% Duty Cycle

0 x* q0 L! \) F! v
楊維斌.陳慶造.郭書菖
" v. J0 ]2 w; i( W& @' t9 S
晶片系統
+ T0 Q  ~% N$ J0 C+ e
靜態隨機存取記憶體 (SRAM )中的漏電流控制9 D; \" r& l, u
Leakage Control in SRAM " g) W* ?9 @+ O% g; e& J
  
2 |5 M! k- M6 f8 B  d: ?+ V
洪子健
. c( x. l5 ^6 e5 @. r, k 2 K/ s3 R  y) h* z) @
晶片系統, |9 p9 t: i2 ^5 X4 c3 r" @4 C: U
用於降低峰值電流之相反相位時鐘樹: P# o+ D* V' n7 u
Opposite-Phase Clock Tree for Peak Current Reduction9 R% \/ W4 \5 y6 @$ C2 M! v
# k2 L7 n; p! v
聶佑庭7 `' E, ?, D$ @  r: l
晶片系統# ~( L8 f# C& I# j+ y* y- Q
先進閘級層次模型評估與研究
) \" w& T6 i& t1 h' ?/ ]) GAdvanced Gate Level Model Survey and Research $ N* d# u. Y8 ]
邱怡芳.郭建興.鄭良加! S& u, k5 P( W& X9 |8 O2 Z* E
晶片系統
2 D$ l" x- c- D% U6 ~/ F- N/ F' T
深次微米元件特徵化趨勢與考量
' D5 {4 r6 V" J! I% KTrends and Considerations for VDSM Cell Characterization, I) z; r* B2 _  E) L- e5 m+ r
郭建興
' b! U( ]* L! R" x
通訊系統/市場分析
# S# S2 t4 F& O8 }9 R
DVB-T/DVB-H Silicon Tuner市場分析
5 a  ?" n* {4 v7 y4 Q! PMarket Analysis of DVB-T/DVB-H Silicon Tuner8 l8 ~8 W% r5 `- s3 J0 B$ P+ B
洪威' X" |. S$ E4 T4 O4 G& ^
通訊系統/晶片系統
  F& c, C0 d2 S0 ]' p# x
WiMAX RF Front-end介紹9 y* n- ?9 z- V. u
An Introduction for RF Front-end Circuits Used in WiMAX Receiver4 f: q: o) ~/ @0 U: ~. V: F( {: Y
黃大榮
6 S* [: G: C5 ?2 T0 d) A. G! N
通訊系統8 a' U  Q1 j" ^6 l" S
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬2 s" }# h/ S. J* Q* i1 g6 X
Downlink BER Simulation for IEEE 802.16-2004 OFDM-PHY
8 P, ]* Q7 a+ R7 f5 [
丁邦安.吳家豪呂明和
3 s# F, c4 r/ w$ |6 s8 d: z& x
通訊系統4 Q, W) n, R) b$ W
IEEE 802.16 無線網路安全技術介紹
8 V6 J8 v9 V9 \% U2 VIntroduction to IEEE 802.16 Security
- f4 x  b$ O5 q1 E  @
林頌為
/ c" O& x0 J7 O3 B, m: k" G% w
通訊系統! k. q$ w/ e3 n; q
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器) q) `3 _) ~* {1 t
Implementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board7 E" W4 q; b3 T4 f+ w( c8 }
唐鴻威.許仁源$ }1 g( X+ L9 k1 e
丁邦安4 S0 Z; x* h/ A5 {/ R
晶片系統
* o1 ]9 z# ~/ f+ L% d# p9 z
一套實際而有效率的處理器自動驗證方法
5 N5 `4 C6 Q/ l0 I2 t3 m# oAn efficient methodology of Processor Automatic Verfication- E7 h/ ^7 x4 _- k$ O
劉明倫.吳日昇.林仁傑, i$ S4 K1 m- P+ d- x& w) O
通訊系統/晶片系統
+ a! H" `9 q; y
應用在數位訊號處理器的先進直接記憶體存取控制器! \; `% B$ n! }* i  V
Advanced DMAC for DSP Processor. e1 _- t+ _3 O3 O
陳玉書.屠禎繼
' q' ]$ z4 a* i8 I
通訊系統
6 C. i% \% M* h: j
模型搜尋式的可變長度編碼法5 N- ?1 r1 s3 o0 e
A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING6 F1 {* N1 g1 S! ?! M
曾紹崟.謝天威
/ a7 r7 f2 b) g+ e6 A
通訊系統4 Y4 K$ f" g' L3 y: r* t6 q5 l
超高頻無線辨識系統應用與標籤設計; ]7 m( W: X2 p( g, Q# b
Tags Design and the Applications of the UHF RFID Systems6 c( z; Z. t, c* R- j8 \
張守傑
2 }& z" k6 C! Z3 ]6 V
通訊系統/晶片系統1 i7 {8 N9 b. o) C7 K/ `
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS
% p* I( {/ X) Q+ k6 u2 X# W/ T
張用璽
2 t- l, y# B% m4 p) m; _
4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表
: W$ C/ ], B: h4 r9 _0 I' g
# k% U; w0 K7 ^! G
期別
技術領域
題目
作者
003
通訊系統/晶片系統
9 t  |  h8 @# P/ t' k% ^6 r, w
超寬頻技術發展剖析1 K) C9 C6 b# E) h8 i
Development Status of the Ultra-Wideband Technologies! m! `- u: C6 I" Z9 Y
莊郁民$ H' t# W0 |3 A) }( `( ^
通訊系統" W5 n9 A. t$ c5 N
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge
8 n* p4 G9 C8 M0 f
陳慶鴻.呂明和.蔡文聖.廖丁科
. O1 _! w# U+ V7 r. d+ ]! `
通訊系統/晶片系統
5 i. {. A7 ]: I/ P5 C
WCDMA/GSM雙模CMOS接收機/ t% y+ @# H- i6 R
A CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application
' e* W9 b1 @% W" z
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅1 t: v5 I8 Z" o& v* ?. H2 t# J1 v7 u! E
通訊系統/晶片系統" k9 z: m6 V/ v& L5 E( _. Q
應用於超寬頻發射機系統之可程式化增益濾波器
5 C. @1 R; }  ~5 g8 u1 ^5 EA Programmable Gain Filter for Ultra-wideband Transmitter System
: z4 U6 V7 n$ [% B  h
李志常% [; b9 w3 O; m+ D& C
通訊系統/晶片系統0 B' n3 c# f$ G- l7 |6 N5 Z: W
DTV 調諧器架構分析
) q' R6 H/ L* H7 j0 ~. JDTV RF Tuner Architecture Technology Analysis  h! R' v) U( D/ N+ K' M& n
吳思賢, h+ o8 R' t& l8 q' G' ~3 H9 p; {
晶片系統5 D% R3 d. Q0 E2 P
通訊系統中數位類比轉換器(DAC)之電路設計9 I6 m1 O3 J( v$ |1 c0 K
Design of DAC for Communication
2 h$ F4 ]3 ]% }9 B. N: j( T- r
劉沛潔
% a7 B+ t( n$ L. B2 t+ L
晶片系統
7 L' x' O1 \5 V* |
架構階層功率估測模擬器% k9 v; e# [" {1 K
Architecture Level Power Estimation Methodology
3 y$ h6 h9 g- n% t$ y% a
張展豪.陳玉書.廖宜道2 f6 Q7 i( x( [$ V
晶片系統
9 b5 @7 y, K. e4 R
DVFS SoC設計與實現# |: V# |( _+ a" l5 h: A) P
DVFS SoC Architecture & Implemenation
2 a# {- r; H: D% L) e9 C, S0 ]; K
賴建元.林勁宏
$ i. w( w2 T; j) {9 [: d  K2 s
晶片系統
+ q* Z2 X$ y: B. M% P3 s
平台式DMAC之考量與實現. C. C- X- n0 P7 L8 w' b
Consideration and Implementation of Platform-Based DMAC
/ t" W: J+ H+ Q3 [0 I1 L" v
沈志堅) ~6 M) C* K* k+ l3 b
晶片系統2 K+ Y7 I: o+ t3 `$ Y1 Y) v! E
平台式記憶體控制器的考量及實作8 |$ U. S9 U6 y0 d! P, f& M
Consideration and Implementation of Platform-Based MC
0 m6 `4 c; Q8 I& x- A8 ?8 Q) B
林群超: [( W: R0 i4 |5 w2 p
通訊系統2 X& V& l9 q5 W: o+ I
多媒體壓縮標準 H.264 面面觀
- S' v( Z$ S/ a9 @4 C9 hThe Profile of H.264
4 _# X3 A" r) b% B3 T& K* x5 x, N
曾紹崟.許志高0 h8 \- h) S0 |
晶片系統
- i) M! y+ {) r) ~* S  \2 N/ O
準確的SoC混合模式模擬+ g+ C. o$ ?& \7 W# t' Z% s! X' r
Accurate Mixed-Mode Simulation for Soc- {* |9 X# R2 c9 \4 U# w
張永嘉.林詠捷.何榮基.羅珮文9 J* [1 T" m0 I
晶片系統
* Z  U0 T& k' h) N/ b
應用於改善可靠度/可製造性後段設計自動化流程的方法
# @' w3 C8 \8 B  C1 C/ sDesign Automation of Backend Flow for Improving Reliability/Manufacturability
/ _( H; X5 q# l4 t. P- v
蘇秀雲.黃俊才.彭政傑
9 O1 P6 @$ S; u1 Z 6 |9 I1 I& s$ ~+ v  s
晶片系統
& p, c5 f; ^; {4 J8 e
Soft IP Hardening方法與實現
& O5 g9 H2 r4 rSoft IP Hardening Methodology & Practice! h. u: o. x9 K4 s
林勁宏.傅志新& l  T6 a! _, e; z6 |& u3 p
晶片系統
* B; P* @% `7 g% ~4 \- L6 Q
0.13微米混合電壓共容輸出入單元設計簡介
+ \; q) R; Z! G) NDesign on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology  L6 n# v; t& n7 k) g# P  C8 S
莊哲豪7 V  N; G; r4 a9 q( w7 p  i6 ~
004
通訊系統0 A; v3 b7 `7 m0 P. k  C% k
WiMAX發展之機會與挑戰
! g& J, T1 ~& q6 Y6 F# d7 KWiMAX Opportunities and Challenges
! l  l" h; W4 S3 D- ]/ T: D
康志堅' {% Y0 c& X' w! }2 f, a
通訊系統
! U, R# K; W9 O9 a
WiMAX 標準與認證簡介% o; d5 `+ G& L( D5 G: a
An Introduction to WiMAX Standard and Certification' M' j' b$ ]$ X% J7 i# P4 r9 @
甘傑英
; D$ j& I$ J6 D) g+ f) Y
通訊系統/晶片系統7 x. V! _! f& d; ^2 W+ K# R
DTV調諧器的低雜訊放大器簡介' @) y  D9 O; H4 }
Introduction to a DTV Tuner Low Noise Amplifier " c" r( ]& j' K% `5 P. B8 a
李青峰
" H0 ?1 |+ m2 A+ d% E! W( h- s
通訊系統/晶片系統3 M. ]/ X6 q# R' W7 ?! h
全頻CMOS UWB接收機
' T- C% e# }0 j, i  N  [" MA CMOS Receiver for Full-band UWB Communication Systems ; w6 W) d/ G! V/ ?8 |
王志偉.陳彥宏
: `$ P2 q4 z$ u) E' ]! r, |% E) ~
晶片系統' K8 C* c: y5 A1 n
應用於動態電壓調整系統之數位式可程式化切換式降壓器6 Q1 W( v0 N* h4 g2 M# w; s- N5 ]- n
Digitally Programmable Buck Converter for Dynamic Voltage Scaling Systems- I  n' |- O8 `% O8 e
林崇偉
: }' {3 X$ p$ H+ P2 E* x2 p6 e3 A
通訊系統/晶片系統7 Q2 X3 D# B$ g  L3 d, V6 q
射頻積體電路之靜電放電防護設計
* E: J" Z3 A6 R5 `  KESD Protection Design for RF IC0 |6 X/ v2 _  g
黃柏獅.徐育達
  H& \  o% {1 x. G" N' k5 }: k4 V
通訊系統/晶片系統
/ F/ M- [  J; C! U. t: i) Q8 C
PAC數位訊號處理器
( f6 q0 ]! o+ l2 P% ~PAC DSP
3 `* }+ C% m# z& t& Q. x2 e+ c2 J
張展豪.
8 U( P  q$ H3 b4 _% j. H; l
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
( C4 e! E8 n1 p" ^5 K; A) V' f& b! W- t2 q2 e% c
官大智:學術交流 網站發表新趨勢   h' ]; _* ~1 \$ m
  
% S* n, m% J# q( u1 F學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。 . k5 x+ ?9 K% T# S
" H' W  ~. G( ^" o
中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。
! K; u+ k2 e2 D$ D& L( w. c! q2 |2 `4 n6 C
一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。  
- u; u7 j" g; [# i7 p  
. u: l) {' E0 o" s報導日期:2008-03-31
0 L) d% p8 U4 F新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性
' K+ B0 b0 i0 d; Q( z
/ H; d! w2 ?) S學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.
, p. ~5 Y; D% t9 G( _5 c系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向: J0 u% T# V4 K1 h3 D

) a" q7 b; m' u! t: I; |SOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論
! l8 @5 ?  L+ K: g$ c5 r$ w                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  - G& Z4 U& t8 B- a+ V

% j  T# P1 M" C% Q3 ~  r2 ?[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-3 06:29 AM , Processed in 0.146009 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表