Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13204|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作? * J. w& ?& h; K8 N3 L
不然如同 jianping讓台灣真的也有一個專業的討論版
3 f, r, w, y/ x* ^7 E
; `- T  ~  z5 s' }! D$ n尋求學界專家,業界先進們大家意見:$ ~1 B( E7 ]9 J) [2 M
& d8 {# d9 B2 C# y- a" d
chip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?
/ q) q7 U5 c2 S  B- ~chip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?/ g# F- y' y* {' L

7 \: B* z- u3 N% z9 u4 @> From: 羽君
8 U+ N! p7 \* I> Sent: Wednesday, January 30, 2008 4:00 PM* R, S0 ]6 `& W( S! p# d
> To: chip123王志明 / y! ^: V+ \1 h2 F% H( @; P
> Subject: Re: about 96年度SoC總聯盟成果發表會8 R8 N! g) o- E
>2 Z' h6 s+ M: u& Z; d- g2 d
> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!& f5 z* {1 w3 d/ \+ }. ^- g/ ]
> http://moesoc.ee.ntu.edu.tw/index1.htm # [$ B9 B9 _5 ~( H* {: b9 D( s
> 謝謝您!祝您新年快樂~  
/ R* k2 h- h5 n! k, P& l$ [' M> 羽君
2 Z9 Y; h0 ?% c& g/ R4 y0 F' r  {( v# O8 S1 S# h
From: <@itri.org.tw>/ k% P, @) Z$ x6 G; Q8 D; `% ~
Sent: Sunday, December 16, 2007 11:47 AM3 }" i+ G5 K' |$ l* R* K! b" k8 c# ^
Subject: Re: TSoCC 回覆: 與Chip123 合作的相關說明4 ]7 z! l- h( }

7 L  n2 V3 w- \& I> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).7 \" e. H, s  q% O2 _6 F4 q9 B
> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.. o* b+ r1 P1 K9 l
> TSoCC may have new change within our organization. However, we also have3 r, Z/ r# E( Q9 D: a, f- T
> SIPO  (Semiconductor Industry Promotion Office) channel.3 H# x' z" `/ Z/ c% `& m
>
" t2 ]7 c; @# e# @> Regards,
* t/ M) t: Y" f. x" l>   a, O# s6 D+ }6 F# G3 Q6 B  f
> 安宇 謹上
0 ]6 e% y3 `, y
, \; {) [9 C; k* x4 i. I. W' A& k; R, q6 q  u8 e7 {& b
技術創新需多方合作
& O2 h" G5 w) X6 X' a$ q新浪網 - 2008年2月29日+ g( J3 o. e7 Z/ q
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 ...7 D$ m' x6 Q$ W1 d3 U

& X+ x( M1 D3 ?* \張汝京:中芯國際「菱形」戰略架構成形
, J* P. F6 h( a$ ?7 m3 l8 L; @& T1 N科技網 - 2008年3月18日
9 F' W  b4 h) P/ X張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 ..., S+ t+ U6 F5 t, f
1 m& Q* g! v3 s
[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。8 H3 |+ n, l# [1 @" ~: q, C
  B- b3 m9 u5 `- ~( G
本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。
8 o! v! `% R* B$ i- P; f  @& Q( G+ M) Y; d8 c
每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析3 }# k5 b0 S0 e8 X! H7 G* T
數位行動電視市場分析: I/ s, f' W% b0 G' g# T( e
Market Analysis of Digital Mobile Television

, z2 I0 w9 S1 v* L9 l
李桂華: K: Y9 O( q( [
晶片系統/晶片系統" X$ a+ f* ]. ]+ X
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」+ l+ ~$ G" q" O. }
Overview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform
: O. c# \4 R- A- Z$ C
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華2 B' _7 x, ]2 s( j4 `; T
通訊系統/晶片系統
+ ]6 D: Z2 Q1 h8 w
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器
0 W- R/ w1 ?7 k! u" [% ^7 d8 iFrame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform
# [2 B% O2 A2 I. @; A1 J. E
曾紹崟.張明偉* J3 c# @  e: u- K- x% F8 u$ B
通訊系統& ^, J* b! Q' D! j
PAC Duo SoC系統網路頻寬分析
2 `, z1 x! q  \! h& h2 Z: u! d4 nSystem Interconnect Bandwidth Analysis of PAC Duo SoC
4 H( P) K7 t7 W6 D7 }3 [
紀坤明.李國丞.黃保瑞林周坤1 K, l8 V) @& }6 r) E. c

7 [/ z$ w- J2 P. g, ], V' j2 r
通訊系統/晶片系統
) v: C" E+ y1 }0 z  V4 E1 b2 H- m
IEEE 802.11n基頻訊號處理技術1 B6 D, T$ A# a3 c2 Z
IEEE 802.11n baseband signal processing techniques
, X- K) _3 Y# e% t+ }4 H
陳治宇.石韻宜.王志凱丁邦安
+ Z1 U" Z$ x# L! d8 t( n9 e
通訊系統/晶片系統4 ~' b& L# Z8 q2 E* I/ v6 |: _
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路
. F% g+ M; K/ o( r3 ~CORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver
) r- v; R. \8 O7 |7 F) t
陳楨明.陳逢期
2 B. S. @  u9 \' {, J
通訊系統2 ?3 |9 M7 J# x; W1 n
利用QoS參數之分類排序以建立WiMAX省電類別之方法% A- H" X! H2 C8 W
A Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX
" s# _3 {0 t7 B7 ~) I: ]" A
康 諾.顏鴻傑.邱玉敏5 N8 u1 j# H. h- g% U) Z
通訊系統/晶片系統
$ _) b5 ~( S6 ?  |0 m, _
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹; a4 Y; A: d9 q9 s
An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method, ]. g- l7 g  T7 W, i6 I7 N% m
許漢州9 t6 {1 G$ r% m& A) w! z
通訊系統/晶片系統1 f* `8 u5 G* a) v. C- R/ A; v/ Z
應用於超寬頻數位類比轉換器
1 \! u( u- ]1 h# {0 UA 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers- ]& l- W" I; K* W! g$ ~$ F
林書民.謝青玹
- M3 ?0 m1 a3 }' W
晶片系統7 |3 c% |$ Z! g* h
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器
9 m9 K" T' _: q' e% h8 M1 EA Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers
+ Q3 _* u& E  i
陳博瑋.鮮思康& Z* V# O9 n. Z# P5 o8 r/ @1 ]
晶片系統
/ B3 Y5 m" M7 r) T
超低電壓300MHz 8X8管線式乘法器之設計# l3 u1 X& }  o" S9 O
300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage
" a7 v: d& c+ A+ V4 U
梁詠智.黃清吉
- l$ b" z. {, A5 x  {* r
晶片系統/ r9 O3 v5 c6 D' p7 l
具有寬頻操作與高解析度之內建式抖動量測試技術8 l. B, y/ }, S
A Wide Range and High Resolution BIST Technique for Jitter Measurement
# S. k! b  r9 K+ f) u
李 瑜.鄭乃禎.陳繼展
" `6 B5 I/ h5 h+ h$ r
晶片系統
$ i2 m: p/ }4 B. Y, A4 ?
考量雜訊電源供應網路設計之快速佈局規劃
6 V. N6 K9 l) ?1 f9 vNoise-Aware Floorplanning for Fast Power Supply Network Design
% ^' n, y/ r& [5 Y$ k- Z% ]4 J
林昌賜7 Q0 Y% R. }3 |( ~$ K3 q/ u
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統
, S) I9 C1 z, h8 ^7 t4 F+ K2 u% U  G) [
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路
& E0 `! y+ ?2 h7 I. UA New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler

: [) H2 K* q. J) J
趙廷昇.張仲宇.卓峰信
  e0 ]( n2 K! @3 W- ^; d
晶片系統
! t$ r8 m7 J  c: M
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers& i" o2 M, f" H7 [
聶佑庭2 G' y' g. O3 L7 p5 O
晶片系統
$ q6 j2 X: \% q' e7 D
使用電子系統層級設計方法開發雙核心系統晶片平台
4 H9 [. @; @3 b; e) c- KApplying ESL in A Dual-Core SoC Platform Designing

! _0 l: V  v2 p) z4 _# g9 R
蘇培陞.陳紀綱.林士哲
. X+ K5 X; M9 P) Q
007
通訊系統/市場分析
- Z- T' [2 @8 k1 S' [; ~5 ]0 |) l
行動式WiMAX市場展望
& T4 \! y# x  b9 A" t/ x  s' {Market Perspective of Mobile WiMAX

; U. t& H$ ~4 p. R" e7 V
康志堅7 }0 Y. G9 `( Z, u. j
通訊系統通訊系統/晶片系統
$ B4 |# i8 u8 u
AAC Decoder在PAC DSP上的實作
* y4 M9 P0 C% [8 R; ^AAC Decoder Implementation on PAC DSP
2 S, C5 i( W/ y: {9 s! z! Q- F
劉俊男.洪瑞鴻.蔡宗漢0 X" ]+ C& o# K& |' j$ |3 R0 J; n
通訊系統通訊系統/晶片系統
+ @. }+ D6 P0 {4 ?
JPEG 解壓縮在PAC DSP上的實作
& d" A4 ~1 E5 m2 CJPEG decoder Implementation on PAC DSP6 O; `/ C+ ^4 Z: n
曾紹崟.范益瑄
  ?2 M5 B0 {7 T+ o5 x9 f& z& n& J5 u
通訊系統通訊系統/晶片系統; e( I. P! |! ~, M
網路電視應用在PAC SOC平台上資料流程與流量的分析
" b6 @9 l4 i. C: ^) wData Flow and Analysis on PAC SOC Platform for IPTV Application

% w# B* k, ?9 m  y6 K7 y
陳澤民.楊仁魁.曾紹崟  v  E) }; E) S7 o$ @" F* s3 \: A
通訊系統
6 C9 D/ x$ D4 e  A+ H) z
WiMAX媒體接取層自動重送要求機制簡介5 y8 ]9 `+ q& t# x1 U$ E$ J; p% c
An Introduction to WiMAX MAC ARQ Mechanism
. Z, @1 e5 I( J+ G0 G# i) J& s, A4 }
游文章
* t  I! y" ]( F( W
通訊系統
+ z% O2 M+ G+ M1 R1 n# e
IEEE 802.16e硬式換手機制之簡介
. L; O' d. r! @" P: o8 dIntroduction to Hard Handover Mechanism in IEEE 802.16e

3 L4 Q" @' X& W+ L6 O
陳富城9 ]$ m4 O/ u% h/ Q) K; t
通訊系統
$ R% T- @6 u3 p# V; X% A
WiMAX系統下之多重模式通道估測及追蹤2 R$ E. E% w3 {+ j9 Y6 z, `
Multimode Channel Estimation and Tracking in WiMAX System
  i, p& S$ m% c* f! k
謝雨滔.丁邦安.陳治宇- X! `  k$ f8 l
通訊系統/晶片系統+ H* y8 {+ k; _4 ^& B& g
應用於WiMAX系統之高效能可規劃FEC架構設計
3 ?  k3 K: V, y2 c& w% \! dHigh-Performance Reconfigurable FEC Architectures for WiMAX0 f" R8 o: ^% T1 V0 ]5 v
朱峻源.林承鴻8 _; H7 Z: s* f: Q" m! e
通訊系統/晶片系統+ @0 S0 b" T$ j" }  M
WiMAX RF Front-end Transmitter介紹3 g0 q+ e/ E% n6 p9 w* Q8 |
An Introduction for WiMAX RF Front-end Transmitter
4 o# \; S8 M2 q  E3 H. |, A' d
李仰涵
, C. g6 p2 ]- }: ?& m0 n
通訊系統/晶片系統
8 V8 W# F) }% K) U
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX
. o+ k* l, ?+ S4 k2 D1 yA 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX

8 \( m+ k# j6 q4 V. @1 j& f( f; t
陳玟蕙8 c$ c# X$ `. ?! q% [8 D
晶片系統
0 m; p; t: I- P# v
管線式類比數位轉換器功率最佳化模型8 Y0 G' G# |8 a  e/ B3 `$ L3 T
Power Optimization Model for Pipelined Analog-to-Digital Converter
9 r. Y  I: w7 s! x( g: G7 E
陳以勛7 q7 X" }% d/ a3 C- x' }
晶片系統% A. O$ |; Y0 z% {; F2 F
超低電壓電路分析與設計
! _4 s6 }2 J7 ?( e% e. s( yAnalysis and Design of Ultra Low VDD Circuit
$ B) s, [" U" u) I# g% o
趙廷昇.張仲宇.羅有龍
: K" r2 B% T0 r5 `% L
通訊系統
! ]1 E- ], }" ?: {
高效能通訊系統之位元錯誤率測試策略
$ `+ E3 u. K! c5 t3 |7 UBER Test Strategies for High Performance Communication Systems
6 J3 Q' e8 F) e2 C1 I5 F
李瑜.鄭乃禎.陳繼展; M) q4 A3 ^5 I4 V& }$ Q! G& T
通訊系統
, M& W7 {: d9 E5 T; M8 _
異質網路間的無縫式多媒體傳輸9 K8 Y! `4 [% h8 Z) E
Seamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network7 X$ }% E+ b2 E5 y
黃永順.程永華.蔡宗霖
5 H% ~* \. P) n3 t  f' `  G/ E+ ^
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統, ~3 o+ D3 G! C; V, s: f* {! s
PCIe Gen2 實體層類比前端
4 T1 w6 P) V' f1 x; d% g. o& {* }6 yPCIe Gen2 Physical Layer Analog Front End% f3 g( z8 d" B- O
翁盟智.林穎甫.陳欣昭.黃立仁4 Q0 Z  o3 F% d# m6 Q
通訊系統/晶片系統
3 \; C) K! X9 Q" Y' t8 b
多頻帶鎖相迴路分析及設計
# C% B( f0 l1 C1 XAnalysis and Design of Multi-Band Phase-Locked Loop
* O. ], \, Z# q$ X' O6 R9 @5 h, ?
陳慶造.張仲宇.卓峰信
2 g: u8 x" w: Y( d6 e$ ^: g# e0 q
通訊系統3 q4 x9 v: j  Y* a' l
應用於Giga-bit/s時脈與資料回復電路之相位檢測器
2 P5 Y% S7 E' V+ k% dA Phase Detector for Giga-bit/s Clock and Data Recovery Circuit+ U& D3 v6 G( F% _% x
李瑜.鄭乃禎7 d: K  b" _" Z( S/ ^  |
晶片系統( y) x( [8 w  F) F
閘級層次低功率實現技術之改善$ a  y; Q8 I8 Z; Z3 v1 a
Improvement of Gate-Level Low Power Implementation Methodology9 K* N# R: y  u8 S0 i: d1 E
龍巧玲.陳繼展( K* e: }, c6 y6 j& s
晶片系統
" k) A% Z$ `# w) U8 L7 Y
可製造性設計應用參數的萃取--晶片導線特性可變異性研究
; ]- X3 {( B9 r1 [. OA Study of Interconnect In-die and Die-to-die Variations for DFM Applications0 t  A! V( I- V% p' M, z* M5 G
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲
1 |+ f% v2 N% z. v) o# f" [. p
晶片系統
) ^) L6 S/ Z5 b# \/ e
南港IC設計育成中心
  d- H. A( V% V7 [, `( |The Position and the Role of Nangkang IC Incubation Center
7 r' ]2 f' W0 n9 s2 k- w- V
陳燕民.黃珮貞.宋瑩) X! n+ V# A4 z; d1 N7 P1 x" a
晶片系統
9 d7 H$ J9 b. h/ F
行動寬頻裝置的發展現況與市場前景7 H* O7 U; {6 U
Current Status and Market Outlook of Personal Mobile Broadband Devices

% A6 f  |; |: m- p( S3 b
朱思穎
/ u1 {! M( _1 I
通訊系統/晶片系統* T# c& f6 u; E/ ?1 x8 j
PAC可攜式多媒體播放器系統晶片
. y+ k+ |: f3 P6 Q% vPAC PMP SoC
5 C2 A# D: V8 g# W: W
謝天威.紀坤明.賴建元9 v0 a7 t2 d+ U- v: `
通訊系統/晶片系統
% g& D- |: K3 Y4 z
PACDSP MP3 解碼器
6 a- U$ v% Z9 m* w! M6 C; aPACDSP MP3 Decoder4 a" N# t+ S* E- j1 d. @
楊仁魁% h# n, G/ y* j  G
通訊系統/晶片系統& w' b# k" Y/ ]
針對多媒體應用之高效能平台式直接記憶體存取控制器4 }; U6 o* a/ I9 ?
An Efficient Platform-Based DMA Controller for Multimedia Application

6 M$ w5 i1 r8 _7 d
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟! M; h. A& O; P" A& H' F
通訊系統
" {6 B* L( c6 ~' |/ \) ?& S+ T9 E
行動式 WiMAX 認證標準的制定現況與動態9 k/ M6 d7 ~# |4 @# n
An Overview of the Mobile WiMAX Certification Status' \) C0 c! F! k: B. v
江守平.甘傑英
  ?5 E* W* f1 [& u
通訊系統" L. v* K6 i' L! j; P5 S7 K
Mobile WIMAX省電模式簡介9 N: k% }+ _3 e8 i0 t
An Introduction to Mobile WiMAX Power Saving Mode

. P! r0 w, k! U0 i7 ?
顏鴻傑
+ @4 `5 T6 Z* m9 F3 k
通訊系統$ P9 d) a* _" D% v3 r
空間多工無線傳輸之低複雜度偵測器2 K! i" S  T) M% ]" m/ M
JQRPSD Detection with Low Complexity for SDM Wireless Communication
* ~' M, f: c0 l% h( O1 L* w' H
林心蕾
% K+ ?5 J9 D! H- X
通訊系統/晶片系統
% ]5 H- P, r3 l( O7 Z% X7 G0 [
應用於WiMAX發射機之具有直流偏移補償基頻濾波器
# a' s, H# A0 ~, @! lA Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications; T2 e% y7 u  g, m7 V
郭信宏  _- }' Y3 [& ?2 v
通訊系統/晶片系統5 v6 N1 u' G4 K5 W( f+ ^  p
超低功率無線積體電路設計考量
) x9 t6 h* n0 ]) IUltra Low Power Wireless Integrated Circuit Design Considerations

$ D& V/ l1 y3 Y+ N/ N; Q9 c' `
陳威憲.莊凱翔
# ]# q$ h8 r4 b
通訊系統
4 s8 i( Q4 \# [, j  U
電容感測前置放大電路之設計
$ B! h2 q7 p: [  e7 ]The design of capacitive sensing preamplifier
4 L9 p* O  c* p( r! ]
鍾啟晨.夏廷魁6 H& T' B3 P" A$ D( y% S% b8 N$ `
晶片系統
& r+ J* z+ @2 L! l9 }2 ^' j  c
實現在90奈米製程之低電壓數位類比轉換器1 W% M/ I" B5 R) H$ B+ Z
A 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS

' r- B9 G' U/ q( a3 U1 u2 @
游爵豪
( k3 M8 ?, L6 J8 `; M  c
晶片系統
& h' p3 k4 C' b0 M: K+ d3 X
90奈米多功能可控制輸出入單元設計簡介
9 u" q' H6 z* Z! d; d# _Design on Configurable I/O Cell in 90nm CMOS Technology
: u# V" G1 V6 D: _. y# ^$ k
梁詠智.黃清吉.胡芳綾,陳佳惠$ i" o4 _2 H7 b, T
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統3 C, o7 P' ^& D
PAC DSP 驗證流程5 g, y4 E2 d& c& Y) d* A
PAC DSP Verification Flow
% a% e1 g" q. e# n8 b% F) Y1 {4 `
廖宜道.1 `- K" [/ U) {) K# H3 r8 D4 A+ Y7 W
通訊系統/晶片系統! J- B3 Z1 `3 ^! f
以系統層級設計方法建立PAC PMP SoC驗證平台
( G/ Y9 G+ G9 p9 KConstruct A PAC PMP SoC Verification Platform Using ESL Design Methodology' o4 q7 V& c9 d  W
陳紀綱.蘇培陞
6 R% b3 d/ f8 {5 g& g! k4 e
通訊系統/晶片系統
+ u/ z8 `) ^6 T0 Z
應用在PAC平台上作業系統層級的DVFS設計概論
2 C! J4 _8 O) a+ QOS-Level DVFS Mechanism Concept and
: t$ g* n2 Y- S- p" wMethodology on PAC Platform

" Y8 i) c7 g" g3 w% k) x( r
莊維彥.張明偉
# O8 b5 B$ W/ x6 l+ \- g5 i/ m9 P# ]
通訊系統  @# {. C! j: j5 L) l
H.264/AVC、VC-1 與 AVS-視訊演算法比較
7 k8 Y, `+ C* F, ?/ L- a$ KThe Comparison of H.264, VC-1 and AVS-Video algorithm
* }' B& E6 ^: s7 ]1 Z) w1 S
曾紹崟
$ |! V- X. @6 g1 ?# B1 g! N9 x
晶片系統- h: A; R: N$ i' g" {- _
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器9 l& \; N4 j( r. |1 x! s
The New Approach of Pseudo Fractional-N
/ L0 T& i+ z( p  J8 IClock Generator for GHz Operation with 50% Duty Cycle
/ I4 }7 E& m% {' D+ `1 p, ~( T1 L- l  g6 K
楊維斌.陳慶造.郭書菖. D$ y2 D* I: R3 a6 M! n$ ?
晶片系統% k4 L9 g' B/ w$ @" H' x, S0 g
靜態隨機存取記憶體 (SRAM )中的漏電流控制. x" t8 j) q7 a0 f4 I9 A' B  o
Leakage Control in SRAM
1 D- B  F4 A' C) W  l
  ' W" ]; L7 X8 S" E; b
洪子健
$ E6 T9 Y+ r  ~* E
& L2 m5 d, C( j* P8 U/ G
晶片系統3 h, q2 v. z. h1 H2 A' X
用於降低峰值電流之相反相位時鐘樹- A0 q! z, |0 k3 I1 u$ j* {/ `5 t8 y
Opposite-Phase Clock Tree for Peak Current Reduction
% c( V& g, u5 y1 @- N! \1 h" @( m* Y

8 Y$ O* |8 ]3 ?6 _/ S/ i+ e
聶佑庭
; U$ \9 K- E. T, L
晶片系統2 O5 E: F7 ]8 t' B+ W, d: x$ I: f! R
先進閘級層次模型評估與研究5 F+ q( v: A7 |, ~# H3 T7 K, G
Advanced Gate Level Model Survey and Research   V* X% {3 ]. C, o1 U5 Q8 }
邱怡芳.郭建興.鄭良加
, Q. g; I7 Q( r% u
晶片系統% E) Y: ?" @6 c2 V5 v
深次微米元件特徵化趨勢與考量
) \% ^7 I* ~0 FTrends and Considerations for VDSM Cell Characterization1 K4 H6 f2 s5 G% \3 W9 }9 i
郭建興$ {1 j: x6 h( P- H9 r8 Z* a9 m
通訊系統/市場分析
  @8 {" {$ @8 ]
DVB-T/DVB-H Silicon Tuner市場分析7 a$ T( v9 g9 L* K  ~. \+ t
Market Analysis of DVB-T/DVB-H Silicon Tuner
9 i( \( U2 k$ h: ^
洪威
. f9 a3 T6 P/ V9 u2 q
通訊系統/晶片系統
/ H. \% B4 j% z: r1 n: P! `4 D* u
WiMAX RF Front-end介紹' W3 b) p, q9 p
An Introduction for RF Front-end Circuits Used in WiMAX Receiver
& d2 x6 v3 I- N0 I* X
黃大榮0 Y% B0 w0 L% @: v
通訊系統3 b9 c' ^) i. D8 j( R
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬
( w7 h1 M- E/ t% r+ `/ K1 ODownlink BER Simulation for IEEE 802.16-2004 OFDM-PHY9 j* h$ H* t& M: @
丁邦安.吳家豪呂明和 $ t3 u1 R; [. g1 r# G' k! l
通訊系統- d* D+ a9 S$ v2 z/ b
IEEE 802.16 無線網路安全技術介紹
3 |( u+ }8 y0 D0 L, }5 SIntroduction to IEEE 802.16 Security- X% f4 O- u% j
林頌為* s( @1 i' S, [$ Z) I- [/ Q
通訊系統& B$ Y% p2 W0 y3 @
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器
3 O9 i/ A7 R+ WImplementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board' q2 P& b( U: u2 A: K. t% g
唐鴻威.許仁源/ D; z& B+ X7 p( r( n
丁邦安
  e9 Y1 e% Z- H; L' X* G
晶片系統
, f$ E' m- Q& \. j" Z9 E
一套實際而有效率的處理器自動驗證方法
( U& [7 j- t  C) {6 }* hAn efficient methodology of Processor Automatic Verfication, E. Q/ J0 |& t0 {! l- W3 m; r
劉明倫.吳日昇.林仁傑
7 D$ q  i  x- S& s& h( D8 H
通訊系統/晶片系統! V8 l- H- m8 z, ?, w4 J
應用在數位訊號處理器的先進直接記憶體存取控制器$ O5 w2 r" k3 l: }! q5 {0 K7 I
Advanced DMAC for DSP Processor3 e1 ]. q. K7 _4 @% M! Y
陳玉書.屠禎繼7 b0 W0 P3 c) o5 i! o# H  r
通訊系統3 r  q4 b# u2 h! l( \
模型搜尋式的可變長度編碼法2 l' o7 {+ Z& [+ S1 h6 j  ], B
A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING: Z' b( y- a0 n. O
曾紹崟.謝天威
& m3 T. G) Y% N: B3 e4 X- r( O. J
通訊系統
8 P* N1 b  ]7 H. f9 t: s7 `# u2 l3 p
超高頻無線辨識系統應用與標籤設計
% R# z0 ]9 B6 o8 Z- c7 l/ tTags Design and the Applications of the UHF RFID Systems. P  z% N% @& t9 O" @5 x; \
張守傑  d$ @  d) M' M: R) @* x
通訊系統/晶片系統
/ w" z( N% X6 z- r5 F& S; z
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS' `2 L) z4 m  p# x* Y5 d  y
張用璽
( |3 c. `% y7 W& k5 O  i$ t
4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表
6 A8 |: {* m- r' J7 U. G5 u% X) g; F& E3 N
期別
技術領域
題目
作者
003
通訊系統/晶片系統1 F+ |9 c1 F8 |( K! D  k( @# z+ m
超寬頻技術發展剖析! p; o0 ?: C. Z9 ]
Development Status of the Ultra-Wideband Technologies" w9 ~: d! O, i* n, A% t8 `
莊郁民
/ a# Z- ]) N/ ~/ J. G
通訊系統# {1 U" ]7 o3 _7 z
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge
( h  u: v6 y! S3 S; t
陳慶鴻.呂明和.蔡文聖.廖丁科* s& |1 u" @* J* S  K3 d
通訊系統/晶片系統8 K: V" V7 `- S! C6 J
WCDMA/GSM雙模CMOS接收機
9 ?: {0 a( Z9 o0 gA CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application
) b6 u1 o3 f1 Y, R# [
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅) v: ]2 W9 n$ s3 K. h8 P! B
通訊系統/晶片系統; ]1 H9 ^% y7 S4 |
應用於超寬頻發射機系統之可程式化增益濾波器/ E: x) P- ^7 A/ Z
A Programmable Gain Filter for Ultra-wideband Transmitter System
: ?9 \  n6 ]3 t# u, d" U
李志常  O' U+ a$ m+ u( ]0 x  [* h( E! |2 z7 n
通訊系統/晶片系統
' S" x2 H0 g4 {$ H6 |
DTV 調諧器架構分析/ X; o( E4 }# M  ]
DTV RF Tuner Architecture Technology Analysis
  ?$ t+ f3 X) }- ?+ B0 I7 A; E
吳思賢# l0 y5 u- P- i8 O# p) I% p
晶片系統' x+ w* L( _/ D% O. ]& h
通訊系統中數位類比轉換器(DAC)之電路設計
: I3 H, Z: L( c; U7 I; K5 G( LDesign of DAC for Communication
# Q5 F  K1 ?- B2 H* M% |9 H
劉沛潔/ T# A; L- }. M% q) n
晶片系統: g$ [4 @+ u8 a. I
架構階層功率估測模擬器
& `0 N: g; [& l- y- e6 wArchitecture Level Power Estimation Methodology
+ X( |" p3 k. I
張展豪.陳玉書.廖宜道
3 U! m: j* u! D1 U) ?. L8 Q" C
晶片系統1 M% ~+ u5 V& n7 o
DVFS SoC設計與實現# x, h1 ?# C. t% D
DVFS SoC Architecture & Implemenation6 Q1 j3 l3 q3 W
賴建元.林勁宏  R5 g3 A. T& N
晶片系統
+ Z4 G* b" _% \
平台式DMAC之考量與實現) {2 r; ^+ Z: a# p: j3 D
Consideration and Implementation of Platform-Based DMAC; ?5 d) X; _0 X* x% v6 `# P
沈志堅
8 \, L- M4 e- F" ^/ X
晶片系統
. E" D6 M/ i* M, ^; k
平台式記憶體控制器的考量及實作
* K- q  M7 u% GConsideration and Implementation of Platform-Based MC$ R  n2 R+ ?$ a0 v
林群超
9 b1 A+ [: u. ^/ p
通訊系統
& x1 [6 c" v0 r; [1 D" A
多媒體壓縮標準 H.264 面面觀
* m1 {6 D5 R/ m1 x* Z. q, oThe Profile of H.264
) l& C2 a9 z( `' D& K: i
曾紹崟.許志高
1 I* p4 h" ]/ A; \3 M
晶片系統
: J7 z3 X2 N( b; m4 p  J$ S+ x
準確的SoC混合模式模擬
0 v, ^6 F8 O+ X- ]& |9 V( d/ u- CAccurate Mixed-Mode Simulation for Soc/ F# B' [+ Y! P8 s" Q1 L1 G
張永嘉.林詠捷.何榮基.羅珮文
; |3 W# {$ A; ?7 T% I& [7 ^8 a0 c
晶片系統' ~* r2 B. }5 x6 _' d- F- X
應用於改善可靠度/可製造性後段設計自動化流程的方法
8 D, Z9 b! _) P) [Design Automation of Backend Flow for Improving Reliability/Manufacturability9 I  w( ?% o' h
蘇秀雲.黃俊才.彭政傑
" n& g5 _) r& ], z1 }
  {; u, X( K; n
晶片系統
. L' u! X) {! t2 {, o1 h/ p0 Y
Soft IP Hardening方法與實現& w( k8 V! a- H, g' s
Soft IP Hardening Methodology & Practice2 U- b* J2 x% H, ^1 g2 `9 p
林勁宏.傅志新# K1 c% R2 ~# @# X8 d. k- b
晶片系統
3 f" W0 \$ j  S
0.13微米混合電壓共容輸出入單元設計簡介7 H0 m5 @, c4 o1 Y1 z( `
Design on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology; }4 p& W& J- Z. T( E3 W
莊哲豪
) P6 c" J0 p7 M  [5 x7 ^: J
004
通訊系統
( k3 o+ r1 a! y0 p; t
WiMAX發展之機會與挑戰
. h- U2 z, O+ q3 D# z; j% qWiMAX Opportunities and Challenges
( ^2 x; K: u. ~# m8 a! z% I2 g
康志堅' o) |2 |" D% v0 j8 u- w
通訊系統
9 t( ]) O9 P9 x  z5 t4 g5 w
WiMAX 標準與認證簡介
1 N2 I' x9 @% y$ k) I4 wAn Introduction to WiMAX Standard and Certification
2 D9 L+ Z1 t5 z) g) s7 b: F# e( o
甘傑英
! \5 v) ~' u1 @/ B
通訊系統/晶片系統
8 b$ v" a  a6 h
DTV調諧器的低雜訊放大器簡介9 u: y1 x1 A8 b+ _
Introduction to a DTV Tuner Low Noise Amplifier
$ }5 T& W1 n9 c8 P, W1 d: @
李青峰
( N& I& [( l! [  ], F& c
通訊系統/晶片系統, c! T# E3 p; G
全頻CMOS UWB接收機
. v# Y; Q1 b  `- b3 ^1 q! S  KA CMOS Receiver for Full-band UWB Communication Systems ( A1 P5 P. t9 J; C7 V; F6 S
王志偉.陳彥宏
# Y; K  q% h# U! R
晶片系統
% p1 y$ z( r3 {  X  j
應用於動態電壓調整系統之數位式可程式化切換式降壓器8 j! ?8 o' O2 W' |+ L
Digitally Programmable Buck Converter for Dynamic Voltage Scaling Systems
( P* \& q  f( _( |  A; p; p: d6 t9 K
林崇偉2 ]2 Q- }% M1 Q+ v% i4 C. N! r
通訊系統/晶片系統
7 A/ y+ h4 P. {7 N. x
射頻積體電路之靜電放電防護設計
% F/ W! T! Z  x' QESD Protection Design for RF IC
$ s7 H- q1 p# ~. W* f
黃柏獅.徐育達
: o1 X9 s7 K& j3 R7 n1 F5 p7 |/ Z9 e
通訊系統/晶片系統9 N# ]2 P! g5 B4 G+ K! f" ]: P5 Q
PAC數位訊號處理器
. k& W6 r( d% h: s; WPAC DSP; T) d7 Y2 F, y8 x8 ^( E
張展豪.7 H) z( H( u2 u* o" k
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
4 p% M0 z2 Y  G/ o; ?/ ^( t, D, t: I1 p) y
官大智:學術交流 網站發表新趨勢
2 K. |2 A* }' z3 t( X$ h8 ]    a* @5 B0 ^" x( Y
學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。 7 R3 I) t+ ?% N

6 T+ U( T9 q7 k  s& \: v0 c中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。 ) a/ _- _& {7 w" q

  I0 A' g& e9 J  n一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。  
5 H; `% v" }9 _$ [. @+ r( \" n' f  
+ Y/ T, ~7 V2 m. i: U# r報導日期:2008-03-31
4 v  m  H6 _1 Y5 m& `9 Y新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性2 Y9 i( }) H+ c2 M  N

7 A4 d4 E0 w' `  K學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.  @7 V9 l6 E% C+ I% L
系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向( p) [# N- r. Z8 h! h5 ]
( `/ k3 X  e2 i- i+ K/ Q% N4 E4 Z
SOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論
7 v& j  H3 F" j5 a8 q9 ~. f                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  ) [9 J; n" ]: F; ~8 e. L3 v9 I( o
7 T# D9 I. L4 |7 Q  |; u4 j6 s4 R
[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 10:23 AM , Processed in 0.158020 second(s), 22 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表