Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 47712|回復: 62
打印 上一主題 下一主題

[好康相報] Riding the Process Curve at 28nm and Beyond

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-9-1 16:15:08 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
賽靈思將出席 SEMICON Taiwan 2011 e-Manufacturing & Design Collaboration Symposium# ~7 x6 S' ]: k+ E
4 G" v$ F9 @. }& r* ^- B# N
全球可編程平台領導廠商美商賽靈思(Xilinx, Inc.(NASDAQ:XLNX))今日宣布將安排其公司資深高階主管出席將於新竹舉辦的e-Manufacturing & Design Collaboration Symposium 2011,和由SEMICON Taiwan 2011國際半導體展所舉辦的第一屆「SiP Global Summit—系統級封測國際高峰論壇」及DigiTimes所主辦的「What if? The Benefits of TSV at its Most Feasible」研討會。賽靈思全球品質控管和新產品導入資深副總裁湯立人與賽靈思可編程平台開發事業部資深副總裁Victor Peng,將於會中分享如何成功運用28奈米及更高製程技術曲線之關鍵因素,以及目前在2.5D 與3D IC設計方面的創新訊息!% b0 x6 V; N& K0 O# h  A# d' V4 K

下列為賽靈思將出席之論壇時程規劃:

201196星期二

論壇名稱:e-Manufacturing & Design Collaboration Symposium 2011

賽靈思專題題目:Riding the Process Curve at 28nm and Beyond

議程時程:

1:30-5:00 p.m.論壇全程時間

1:30-2:15 p.m.賽靈思專題演講論壇地點:新竹國賓大飯店十樓國際B

e-Manufacturing & Design Collaboration Symposium 2011是由國際半導體製造論壇(ISSM)所舉辦的聯合論壇,會中將針對跨產業營運、聯盟策略、科技創新與商業合作進行討論。賽靈思資深副總裁湯立人先生將於論壇中分享以「Riding the Process Curve at 28nm and Beyond」為題之專題演說,時間為下午1點30分至2點15分,此專題將帶領與會者一窺賽靈思如何在新製程節點方面領先業界之關鍵策略,並透過推出PLD業界中首款最大的28奈米FPGA產品邁向成功!

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2011-9-1 16:16:07 | 只看該作者

201197星期三

論壇名稱:DigiTimes 3D IC技術及產品應用趨勢研討會

賽靈思專題題目:What if? - The Benefits of TSV at its Most Feasible

議程時程:

3:00-7:00 p.m. 研討會全程時間

3:30-4:00 p.m. 賽靈思專題演講

論壇地點:台北君悅大飯店三樓鵲迎廳

賽靈思資深副總裁Victor Peng將會在SEMICON Taiwan 2011期間參與由DigiTimes所主辦的研討會,與DigiTimes Research資深分析師柴煥欣及多位業界領導者共同發表演說,並參與由DigiTimes的Coco Chen所主持之圓桌討論。

201198星期四

論壇名稱:3D IC 技術趨勢論壇 - 迎接2.5與3D ICs時代

賽靈思專題題目:Realizing a Two Million Logic Cell 28nm FPGA with Stacked Silicon Interconnect Technology

議程時程:

8:30 a.m.-5:30 p.m. 論壇全程時間

9:40-10:10 a.m. 賽靈思專題演講

論壇地點:台北世界貿易中心 - 台北國際會議中心201ABC會議室

# P* h6 ^; H, t

在本次論壇中,將邀請代表產業生態體系中關鍵環節的業界領導者透過針對技術路線圖、供應鏈製造準備、商業模式與產品標準化等議題進行經驗分享。當日議程與演講者相關資訊請參考下列網頁連結:系統級封測國際高峰論壇。賽靈思資深副總裁Victor Peng的演說將以「Realizing a Two Million Logic Cell 28nm FPGA with Stacked Silicon Interconnect Technology為題,分享最先進的28奈米 2.5D與3D IC技術,其講座將於上午940分開始

3#
 樓主| 發表於 2011-9-7 12:40:16 | 只看該作者
Altera發佈業界第一款28-nm FPGA開發套件 採用全功能Stratix V GX FPGA的開發套件加速了寬頻系統的開發1 V- n# ~8 @/ [/ ?  `
; E4 y0 x8 p# x( W) J8 _& @
2011年9月7日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈開始提供第一款採用28-nm FPGA的開發套件——Stratix® V GX FPGA訊號完整性套件,在推動業界28-nm FPGA發展方面建立了新的里程碑。這一個全功能套件支援設計工程師加速高性能系統的設計和開發,滿足業界對提高頻寬的需求。Stratix V GX FPGA訊號完整性開發套件為用戶提供的平臺,能夠測量並評估從600 Mbps到12.5 Gbps的收發器鏈路性能。. y8 W3 \8 G) w& `- }

+ f* K$ i5 g9 W1 aStratix V GX FPGA訊號完整性開發套件採用高階等化功能,可實現元件的高速序列收發器。用戶可以使用板上SMA以及包括Molex® Impact®和Amphenol® XCede®在內的常見背板連接器來進行真實的系統分析。這些內置高速背板連接器可用於評估訂製背板的性能以及鏈路BER。用戶還可以透過使用方便的使用者介面來產生並檢查擬隨機二進位序列(PRBS)的樣板。
& N( i: q- T# n. J
, }) M" Q/ w7 N- c6 r9 ~LeCroy公司Bogatin企業訊號完整性專家Eric Bogatin博士表示:「為滿足當今高階通訊系統日益增長的資料速率和頻寬需求,SERDES供應商加大了在硬體上的訊號和電源完整性的投入。Altera的Stratix V收發器訊號完整性開發套件為評估用戶應用的收發器性能提供了理想的平臺,加速新設計的開發。」4 `: L6 O' ]) T( t3 u  y

: s$ T5 _' U6 p( N3 `利用Stratix V FPGA訊號完整性開發套件,用戶可以驗證目前最流行通訊協定標準的相容性,包括10GbE、10GBASE-KR、PCI Express® (PCIe®) Gen1, Gen2和Gen3、Serial RapidIO®、Gigabit Ethernet (GbE)、10 GbE XAUI、CEI-6G、CEI-11G、HD-SDI、Interlaken和光纖通道等。開發套件含有一片採用Stratix V GX FPGA架構的開發板,Quartus® II軟體的一年授權,以及設計實例,還可以使用Altera的MegaCore® IP函式庫,包括Nios® II嵌入式設計套裝。- u3 i0 c9 _0 C5 Y( J; K

; G( }' B6 l1 s0 W' M, n價格和供貨資訊3 L: C- }' L, o3 j: y! o: J# k( n+ E) R+ k
現在已經開始發售Stratix V GX FPGA訊號完整性開發套件,價格是4,995美元。
4#
發表於 2011-9-29 16:39:27 | 只看該作者

賽靈思針對iPhone 推出Pocket Power Estimator APP應用程式

研發業者能快速判斷賽靈思28奈米7系列FPGA的低功耗優勢
( J& D+ H. K3 P4 u9 ]' v; B; J' v0 B, S2 j) N( c
Xilinx_Pocket Power Estimator_iPhone
, H( g( |+ J( d  G' O1 _3 V; X. e. J2 Q- m* f' g) ~
全球可編程平台領導廠商美商賽靈思(Xilinx, Inc.(NASDAQ:XLNX))今日宣布將針對重度使用iPhone 的研發業者推出一款APP應用程式,能夠快速且輕鬆判斷賽靈思旗下的28奈米7系列FPGA之功耗。針對蘋果iPhone所推出的Pocket Power Estimator (PPE)應用程式,能讓研發業者判斷賽靈思的28奈米可編程平台和其他方案的功耗表現,瞭解何者能為其系統提供最低功耗。業者即日起可至Apple App Store網站下載PPE程式,透過情境假設得到預測功耗的立即回饋,並能與其他方案進行比較。若想獲得更詳細的功耗分析,可進一步運用賽靈思ISE Design Suite的XPower Estimator (XPE) 以及XPower Analyzer (XPA)。# v, [* j. T$ U7 o8 x
1 A$ B6 @, C( \/ }
賽靈思公司傑出工程師以及功耗權威Matt Klein表示:「目前市場上所有的電子系統製造商都致力於降低其功耗,或是在相同的功耗下提高系統效能。因此,賽靈思針對現今其中一款最受歡迎的智慧型手機平台推出Power Pocket Estimator (PPE),讓這些忙碌且經常運用iPhone來存取資訊的研發業者能自行預測耗電量,進一步提升其設計生產力。」% F! k0 ]2 ?4 `& [8 `9 y6 {6 E1 \

0 u8 e$ ~1 f: z9 m- q" U7 s這款也適用於iPad的PPE app,能夠藉由簡單易用的圖形使用者介面,讓使用者能快速輸入各種資源需求– 像是SerDes使用率、DSP、記憶體、邏輯容量等。透過晶圓代工夥伴台積電公司的HPL(高效能/低功耗)製程技術,賽靈思的7系列FPGA相較於前一代40奈米FPGA,平均能降低50%總功耗,這其中包含降低65%(最壞狀況)的靜態功耗、25%的動態功耗、30%較低的I/O功耗、以及60%的收發器功耗。PPE app將所有可能影響總功耗的因素都列入考量,讓研發業者能更精確估計功能模塊所消耗的電力,並可以和賽靈思或他廠的元件進行比較。另外,PPE程式還附有應用參考範例,讓研發業者能快速上手,並根據自己所需規格條件進行客製化。第一版程式內含有線與無線市場專屬的設計範例,未來推出的版本也將附上針對其他市場的設計範例,並可支援其他智慧型手機平台。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
5#
發表於 2011-9-29 16:39:56 | 只看該作者

2 L& P, y, l# U5 M- r8 `! E0 R
4 j2 b5 n) Y# t( i7系列的低功耗優勢
, O2 u8 R4 ~0 J, q# t$ w+ s28 HPL 製程技術解決在28奈米HP嵌入式矽鍺(SiGe)製程上許多良率與漏電的問題,並提供一個更具成本效益的製程解決方案。7系列具有更大設計餘裕,能透過HPL製程帶來更大電壓空間,讓研發業者可選擇更大範圍的工作電壓,並支援彈性的功耗/效能策略。賽靈思針對各款7系列元件推出新的低功耗-2L元件,提供比其他市售產品低45%的靜態功耗,達到中階速度的效能。同款-2L元件還能在0.9伏特的核心電壓下運作,帶來更低功耗的效益,包括比市售同級速度產品低55%的靜態功耗,以及低20%的動態功耗。  ) o- A6 x* i6 v9 K8 ]

0 E) ^& ~2 E8 l0 V% [" U4 s在設計工具的功耗最佳化方面,賽靈思針對FPGA推出首款自動化、提供細分時脈閘控技術的解決方案,使動態功耗最多可降低30%。這項自動化功能直接連結到標準FPGA設計流程的布局與繞線部分,並採用一系列創新演算法進行分析,產生細分的時脈閘控技術或邏輯閘訊號,以抵銷多餘切換所產生的效應。業者利用PPE app的功耗最佳化選項即可輕易實現智慧型時脈閘控技術的省電效益,也能預測在最壞情況下的功耗。賽靈思PPE app能提供在各種情況下的總功耗預估,針對每種設計情境提供合理且確實的數據預估。1 i' h% }" [* v

. @0 P8 H  c! ~) @9 G" Z4 L供應時程
2 F$ z6 @5 }% W4 F2 A# z1 fXilinx PPE行動應用程式現已透過Apple App Store供各界免費下載。Android以及其他智慧型手機平台專屬的PPE將於今年稍晚推出。欲更進一步瞭解賽靈思的低功耗優勢,以及獲得PPE App的連結,歡迎上網瀏覽:http://www.xilinx.com/power

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
6#
發表於 2011-10-7 13:52:34 | 只看該作者

ARM與聯電共同宣布延長28奈米製程智財合作夥伴關係

將針對先進高介電金屬閘極(HKMG)28HPM製程平台 推出全方位實體IP解決方案
  P- `$ c' e& N; e* V/ l: |( H0 h, Q! |2 X% R. I* `
ARM與國際晶圓代工大廠聯電(NYSE: UMC; TWSE: 2303)宣布達成長期合作協議,將提供聯電客戶有效的28HPM製程技術的ARM Artisan®實體IP解決方案。這項最新的28奈米製程技術鎖定的應用範圍極廣,包括行動與無線等可攜式裝置,以及數位家庭、高速網路等高效能應用。這項合作計畫結合了兩家公司的力量,將提供雙方客戶超凡的技術與支援。
, b0 [, M+ _$ Q' D  t6 g$ J2 L8 {% @7 o( ]( ~( D$ ?
ARM實體IP部門執行副總裁暨總經理Simon Segars表示:「我們很高興聯電選擇在28HPM製程採用ARM Artisan實體IP。日後雙方客戶將可取得最適合ARM技術實作的完整先進實體IP解決方案,如:Cortex™-A 處理器系列產品等。」「拓展ARM與聯電之間的關係,將加深雙方在製程技術與28奈米製程先進實體IP方面的合作與創新,進而優化效能、能源效率與晶片密度,並延伸ARM為先進製程設計提供多樣化技術平台的承諾。」 9 S6 A1 R; p# L
; h# @' D* t3 p4 j" |  C
聯華電子負責客戶工程與IP研發設計支援的簡山傑副總也指出:「我們很高興能擴展與ARM之間已超過10年的長期合作關係。」「這項由聯電所贊助的開發計畫,將針對聯電各式先進製程技術,提供客戶全方位的ARM實體IP解決方案,也有助於縮短產品在重要目標市場的上市時間。這項合作計畫進一步突顯了我們的決心,要將領先業界的資源,提供予聯電先進28奈米製程設計產品的客戶。」
! k0 q6 u! b; k8 o" U) `2 N0 d& O! A$ H2 f8 s# `& i, q
聯電的閘極後製(gate-last)28HPM製程技術,具有高效能、高介電金屬閘極( HKMG )裝置結構低耗電(low-leakage)等特色,不論在裝置電壓、記憶體位元單位(big-cell)、低速(underdrive)、超速(overdrive)性能方面均提供許多選擇,以協助系統單晶片(SoC)設計人員同時達到高性能並延長電池壽命。聯電28HPM製程技術預定將於2012年中開始試產。
7#
 樓主| 發表於 2011-10-11 08:38:14 | 只看該作者

超微技術論壇大秀28奈米新產品

【台北訊】超微(AMD)5日在台舉辦第七屆年度AMD Fusion技術論壇,向台灣產業體系夥伴展示多項AMD領先全球的創新技術,其中更展示採用28奈米製程的新一代繪圖處理器,合計今年參與人數超過八百多人次,突破歷年記錄。
0 ?! |$ ]5 P  v6 Y1 J8 t, [% K3 h. g  g3 k# W% P8 ]
論壇中,超微更對外公布,截至今年第二季,支援DirectX11的繪圖運算加速處理器APU 家族合併出貨已經超過7千萬顆。預計2012年將發表全新一代,搭載「Bulldozer」核心,代號為「Trinity」的APU產品,擁有更佳的省電能力和優異的效能,專為超輕薄筆記型電腦與小尺寸電腦所設計,讓使用者擁有超強的電池續航力、身臨其境的多媒體享受、輕便的產品外型,及最極致的使用經驗。* z) S1 r# ?8 n+ Q( N3 {! N
6 \7 w& ^1 y4 i5 z
此外,超微全球副總裁暨繪圖產品事業群總經理MattSkynner在論壇中展28奈米製程的新一代繪圖處理器,更對外宣布第一批使用新x86架構的Bulldozer處理器,代號為「Interlagos」的16核心伺服器處理器,與代號為「Zambezi」的FX桌上型處理器,將在今年第四季面市。其中,即將推出的8核心AMD FX桌上型處理器超頻成績,榮登金氏世界紀錄「最高時脈的電腦處理器」之封號。
8#
發表於 2011-10-12 11:27:01 | 只看該作者

Altera發佈FPGA業界第一款SoC FPGA虛擬目標軟體發展環境

可在Linux與VxWorks上運行,針對Altera的SoC FPGA立即進行特定元件的軟體開發. b$ I) b8 T0 [2 n8 {

, s3 y6 W+ X) Q0 c# q. t. m& b& r: d5 ?
2011年10月12日,台灣——Altera公司(Nasdaq:ALTR)今天宣佈可立即提供FPGA業界的第一個可針對Altera最新宣佈的SoC FPGA元件,立即進行特定元件軟體開發的虛擬目標。SoC FPGA虛擬目標是採用來自Synopsys公司成熟的虛擬原型解決方案,它是採用PC架構的Altera SoC FPGA開發電路板來進行功能性模擬。虛擬目標是一種二進位程式碼與暫存器相容,功能相當於一塊SoC FPGA電路板的產品,用於確保在虛擬目標上開發的軟體,可以用最小的心力來移植到實際的電路板上,目前可在Linux與VxWorks上運行,並支援領先的ARM輔助系統開發工具。虛擬目標讓嵌入式軟體工程師能夠使用熟悉的工具,來開發他們的應用軟體,盡量地可重複使用傳統的程式碼,並前所未有地讓工程師能從目標控制與目標可見度的層級上獲得更多生產力,這對複雜的多核心處理器系統開發來說相當重要。
* V# G- i6 e' G% p: g8 }2 X5 M. ~& e' [
Altera公司產品和企業行銷副總裁Vince Hu評論表示:「針對嵌入式專案進行應用軟體的開發,特別需要最多的時間與工程資源。採用我們的SoC FPGA虛擬目標,將可讓工程師藉此展開他們的軟體開發,並將他們的生產力最大化,以更快速地取得市場先機。」

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
9#
發表於 2011-10-12 11:27:57 | 只看該作者
SoC FPGA虛擬目標提供一種已預先建立、已可使用、二進位程式碼與暫存器相容的PC架構模擬模型,具有可在Altera的Cyclone® V與Arria® V SoC FPGA上找到,相同的雙核心ARM® Cortex™-A9 MPCore™處理器與系統周邊,並搭配電路板層級的零組件,包括DDR SDRAM、快閃記憶體與虛擬I/O。為了讓應用軟體開發能夠同時涵蓋硬式處理器系統與客製化設計的FPGA架構IP,Altera將提供一種稱為FPGA迴路延伸的選項到虛擬目標之中,這個延伸選項使用Altera FPGA開發電路板,透過PCIe®介面來連接到PC架構的虛擬目標。虛擬目標與FPGA迴路延伸可一起讓用戶增加客製化的周邊與硬體加速器到處理器子系統之中,為它們建立元件驅動程式,並在最終硬體推出之前整合應用軟體,以便用最小的心力來讓特定元件專用韌體與應用軟體,移植到實際的硬體之上。
$ _2 Y3 G+ \( t- E" ]4 `& n4 S2 |
) {. b& R9 ]8 z  L: QSynopsys公司IP與系統市場行銷副總裁John Koeter評論表示:「很多成功的半導體與系統公司運用了虛擬原型開發技術,在具備矽晶片之前和之後都能夠加速軟體發展。我們透過與Altera的合作,讓經過驗證的虛擬原型技術可以成為上架商品,可立即用於虛擬目標,並為軟體工程師提供一個系統環境與無與倫比的除錯能力,這將可輕易地提供給Altera的全球客戶。」
! z0 n3 r/ O& \7 F- H: a* r
. h2 L* ^$ n: C, l9 C+ J3 H* V虛擬目標一開始將會支援Linux與VxWorks,嵌入式軟體開發者可以使用已經搭配好SoC FPGA開發版上所有主要零組件的元件驅動程式,並預先建立Linux核心系統,便可輕易地在虛擬目標上啟動Linux,也可以從Altera免費下載預先建立的通用公共授權(GNU)工具鏈與Linux原始碼。針對虛擬目標開發的VxWorks電路板支援套件(BSP)將可在本季推出,未來還將會針對其他的嵌入式作業系統推出更多的電路板支援套件。
10#
發表於 2011-10-12 11:28:05 | 只看該作者
虛擬目標還針對獨特的模擬環境提供輔助系統工具相容性與附加的除錯能力,支援虛擬目標的開發工具包括GNU工具、ARM RVDS™、ARM Development Studio 5 (DS-5™)、Lauterbach TRACE32®除錯器,以及Wind River Workbench。做為模擬模型,虛擬目標提供系統在除錯時擁有更多可見度,讓用戶能夠更大幅度地控制目標的執行 (特別是在多核心系統),並可執行許多很難或不可能在硬體上執行的除錯工作。
6 N! z. ]. ~7 z3 B, f/ \+ `' z/ G) t, H6 v% d1 B3 Y! {, x7 n
ARM公司系統設計行銷總監Mark Onions表示:「虛擬平台的需求正不斷增加,以便加速軟體開發,特別是採用ARM Cortex-A9 MPCore處理器的複雜設計。Altera的SoC FPGA虛擬目標,可與ARM的RVDS與DS-5軟體開發工具一起使用,讓開發者可以更快速地展開與完成他們的多核心系統設計。」+ r4 w! X' P- d" {7 w: z5 w

5 ?; w9 n# e* X. G# jLauterbach GmbH的總裁Stephan Lauterbach補充表示:「我們看到越來越多的用戶從運用虛擬原型開發技術時,儘早開始他們的開發工作中得到益處。TRACE32與虛擬目標的內建視覺化和控制功能相結合,讓多核心除錯發展到新的層次,用戶能夠將其在每個開發過程中的工具和知識投入發揮最大的效用。」
& H- A( v3 [) P4 H: l% O
) J' G, E5 y9 _# _! k% SWind Riverg公司的產品管理副總裁Warren Kurisu表示:「最近我們與Altera在Linux和VxWorks才剛成功地合作實現Altera軟式核心處理器,Altera新的SoC FPGA元件為嵌入式開發者再一次拓展了更多機會,結合Wind River豐富的產品系列,包括先進的軟體作業系統與世界級的開發工具,這些努力將可協助嵌入式系統開發者,為各種嵌入式領域的各種不同需求帶來更多創新。」
- E8 O& R4 f1 P# v& S0 J9 ?' C
( i* w+ x( w/ F! @! r) o9 l價格和供貨資訊
0 ~; b0 ~7 a. c# j' @7 Y現在已可向Altera訂購SoC FPGA虛擬目標,FPGA迴路延伸預計在明年初推出。
11#
 樓主| 發表於 2011-10-21 12:03:23 | 只看該作者
聯華電子與新思科技合作開發應用於28奈米製程技術之DesignWare IP
3 J2 G3 W8 v! \9 b& B0 n6 H雙方就聯電之Poly SiON HLP製程的嵌入式記憶體及邏輯庫進行合作 以生產高效能、低功耗之SoC - Y3 U% f: e5 D4 `1 B2 {2 T

1 L' t( b, L" v: h' ~- C(台北訊) 半導體晶圓專工領導廠商聯華電子與全球半導體設計製造軟體暨IP領導廠商新思科技(Synopsys)今日宣布擴大合作關係,共同開發用於聯電28奈米HLP Poly SiON製程之DesignWare® IP。新思科技進一步擴展先前在聯電40及55奈米製程上的成功經驗,計畫將經過驗證之DesignWare嵌入式記憶體(embedded memories)及邏輯庫(logic library)用於聯電28奈米HLP Poly SiON製程技術中。此次合作將讓設計人員得以較低風險同時縮短上市時程的方式生產高速、低功耗的SoC。雙方的長期合作已成功開發出應用於聯電180到28奈米製程的高品質DesignWare IP。
) @$ f& H" J4 |8 |5 Y( K* r: S& y& J0 V
除了保留傳統Poly SiON閘極堆疊(gate stack)的成本優勢及使用專利製程技術外,聯電的28HLP製程技術可提供其他28奈米HLP Poly SiON產品所不及的高成本效益以及效能和功耗的臻進。強化的28奈米HLP Poly SiON製程提供平順的40奈米遷移路徑(migration path),便於設計的採用且可加速上市時程。
+ ~5 J0 a. w5 M/ O4 s1 k; v0 k% U  Z  R/ g) s" `% _6 V, D  ^) |
聯華電子先進技術開發處副總經理簡山傑表示:「聯電與新思科技的密切合作已維持多年且橫跨不同的技術世代。新思科技是一家值得信賴的IP領導廠商,雙方在28奈米製程上的擴大合作代表彼此在協助客戶開發複雜SoC設計上的承諾,而我們期待將這些下世代產品帶給我們的客戶。」
12#
 樓主| 發表於 2011-10-21 12:03:33 | 只看該作者
新思科技廣泛的嵌入式記憶體和標準單元庫(cell library)產品內容不但針對速度、功率和面積進行優化,同時也已經矽晶驗證(silicon proven)並用於超過十億的晶片中。DesignWare嵌入式記憶體和邏輯庫包含諸如休眠、睡眠和關機等先進功耗管理功能,以及可協助延展行動應用裝置之電池續航力的功耗最佳化工具(Power Optimization Kit)。此外,新思科技的整合STAR Memory System®測試修復解決方案能讓設計人員在降低整體晶片面積的狀況下達成較佳的測試品質及較高的嵌入式記憶體產出。 * V8 G8 t, y; C; P0 V

1 J/ q. u; M8 C1 [) u# L9 E& b0 x新思科技IP及系統行銷副總裁John Koeter表示:「新思科技與聯電的客戶將受惠於雙方的合作,透過使用經聯電28奈米製程技術測試過的IP,其所設計的SoC產品將更顯出眾。就提供先進製程節點的高品質IP面向上,新思科技不斷交出漂亮的成績單,這層口碑讓設計人員對於能以較低的風險將DesignWare IP整合進SoC中且能有效掌握第一回晶片驗證(first-pass)的成功率深具信心。」 3 y/ V6 }2 f1 T. _" M! l2 q5 ]  z' M# U
0 N) Z* [5 b9 F3 Y8 `
關於DesignWare IP
! l+ m/ F3 q# k- |
0 N: n' f% A- D' O1 j. K3 ?7 i" H5 M新思科技乃一針對系統晶片設計提供高品質及經矽晶驗證之IP解決方案的領導廠商。DesignWare IP產品包含完整的介面IP解決方案,其中包括控制器、針對廣泛應用通訊協定的實體層(PHY)及驗證IP、類比IP、嵌入式記憶體、邏輯庫以及可配置處理器核心。此外,新思科技亦提供建構虛擬原型的SystemC轉換層級模型, 以用於軟體的快速開發以及矽前製程的開發。藉由其強大的IP開發方法、再利用工具,以及在品質和全面技術支援方面的密集投入,新思科技將協助設計人員加速產品上市時程及降低整合風險。與獲取更多DesignWare IP相關訊息,請參考下列網站: http://www.synopsys.com/designware; 或可上Twitter: http://twitter.com/designware_ip追蹤相關資訊。
13#
發表於 2011-11-30 16:33:49 | 只看該作者

Altera繼推出28-nm系列產品後,續發售Arria V FPGA

展示具有10.3125-Gbps收發器、功率消耗最低的中階FPGA,適用於無線、廣播和軍事應用
; L8 t; g; q- H  W, U2 r' V6 {
2 C/ q" u( f( }/ @- c( @
: @8 h, g1 `- G' E2011年11月30日,台灣——Altera公司(NASDAQ:ALTR)今天宣佈,開始發售其28-nm Arria® V FPGA。Arria V元件是目前市場上支援10.3125-Gbps收發器技術、功率消耗最低的中階FPGA。利用該系列的創新特性,在無線、廣播和軍事市場上,設計人員可以訂製滿足下一代系統的低功率消耗、寬頻和低成本需求。Arria V元件是公司於2011年上半年發售Stratix® V系列產品之後發售的另一款28-nm系列產品,證明Altera在提供滿足用戶各類設計元件需求上所做的承諾。

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
14#
發表於 2011-11-30 16:34:16 | 只看該作者
Arria V系列採用了台積電(TSMC)的28-nm低功率消耗(28LP)製程進行開發,在所有中階FPGA系列中,其整體功率消耗最低、靜態功率消耗最低、收發器功率消耗最低,與前一代元件相比,功率消耗可降低至40%。在其同類FPGA中,該系列靜態功率消耗可降低50%,收發器功率消耗可降低50%。透過利用Altera成熟可靠的收發器領先技術以及第六代收發器IP,該系列經過最佳化,在以10.3125-Gbps資料速率運作時,功率消耗只有100 mW。在www.altera.com/b/arria-v-fpga.html上提供名為「Arria V FPGA先睹為快:收發器在6.375 Gbps和10.3125 Gbps時的運作」視訊,展示Altera的Arria V FPGA整合收發器技術。' R' ~6 b- `. l  S% n) v
  K9 _! ]: y8 t  B* w' |" G+ w
像是CommScope公司等通訊網路基礎設施解決方案的全球領先企業,亦採用Altera元件,在下一代4G無線網路中,在高性能和低功率消耗方面同時達到均衡。( s& I0 g3 ~2 C
CommScope工程研究員Carmine Pagano評論表示:「在對多種方案進行全面評估之後,我們在即將推出的遠端射頻前端和主動式天線系統中選擇使用Altera的Arria V FPGA,這是因為對於價格非常敏感的市場,這些元件既可滿足我們對高性能和低功率消耗的需求,同時亦實現最大價值。我們收到的Arria V元件將幫助我們實現4G無線基礎設施產品,其頻寬更大,功率效益更高,性能價格比更好,讓我們的用戶能夠實現最佳的無線覆蓋,提供高品質服務,同時降低整體投入和營運開銷。」( x+ _: n2 U! T6 B1 S

" K( z& n2 B/ dAltera產品市場資深總監Patrick Dorsey評論表示:「Arria V架構、IP和開發工具結合台積電(TSMC)的28LP製程,讓我們的用戶能夠在成本、功率消耗和性能上很好地達到均衡。我們在訂製該系列上加大投入,滿足了無線、寬頻和軍事應用領域獨特的需求,確保用戶在應用中實現每瓦最佳性能。」
15#
發表於 2011-11-30 16:34:25 | 只看該作者
Arria V FPGA簡介0 l$ ^: s  F; T! c0 p, s
Arria V系列適用於遠端射頻前端、演播室混音器和10G/40G線路卡等應用。四種系列型號——GX、GT、SX和ST,密度範圍高達503K邏輯單元(LE),收發器運作速率高達10.3125 Gbps,並提供可選的嵌入式雙核心ARM® Cortex™-A9 MPCore™處理器,支援工程師選擇最能滿足其需求的元件。. V, g0 c, A8 l% I3 n" a- w1 ~

4 i! J/ |* K; F3 y. U7 I( X+ X/ gAltera 28-nm元件系列——為滿足特殊設計需求而訂製8 [" w( m" ]9 \2 S0 }
Altera的28-nm FPGA系列是業界最全面的元件產品,訂製滿足了用戶的各種設計需求。該系列透過其Arria V、Cyclone® V和Stratix V FPGA系列以及HardCopy® V ASIC系列,為用戶提供明確的差異化解決方案。在製程技術、架構、收發器技術和硬式核心矽智財(IP)模組上加大投入,設計人員採用該系列產品可滿足自己在成本、性能和低功率消耗上的需求,並縮短開發時間,減小工作量。關於Altera 28-nm系列產品的詳細資訊,請瀏覽www.altera.com/28nmportfolio, J4 B) e! a$ U2 ~; L- p% g
9 d$ F5 \; A: @) S5 ^
供貨資訊/ o% ~, S7 H2 _  {$ i
現在提供軟體支援,並已經開始發售Altera Arria V FPGA的工程樣品。
16#
發表於 2011-12-14 14:10:09 | 只看該作者
Altera的28-nm FPGA首次與PLX科技公司的PCIe Gen3交換器完成互操作性
1 l7 U3 g8 z7 O1 N3 ?! a0 TStratix V GX FPGA硬式PCIe Gen3模組易於進行PCIe Gen3系統的開發 , d3 Q1 Y% [) Y: i: w3 h' p# G1 D

: H& O7 a* |- {3 l4 u0 W# q" Z2011年12月13日,台灣——Altera公司(Nasdaq:ALTR)今天宣佈它們成功地完成28-nm Stratix® V GX FPGA與PLX®科技公司(Nasdaq:PLXT)的ExpressLane™ PCI Express® (PCIe®) Gen3交換器的互操作性。Stratix V GX FPGA具有硬式PCIe Gen3 IP模組,並是當今唯一能夠與PCIe Gen3交換器完成互操作性的已出貨FPGA。
, [7 u9 n3 {; P5 E) o; m6 C2 U/ Q
9 l+ R* w! W0 e3 ?/ m) V: i5 t" YAltera零組件行銷資深總監Patrick Dorsey表示:「業界最高效能的28-nm FPGA與業界第一個PCIe Gen3交換器完成互操作性,將可讓客戶更專注在設計議題,而不是花時間在驗證元件之間的電子規範,可節省大量的開發時間。在Stratix V中的硬式PCIe Gen3 IP模組,可為高效能、尖端應用保留邏輯資源,並同時增加傳輸量,以及減少功率消耗。」
+ ]9 ^+ d4 l% i+ U0 G% @. C! B( I* p# z7 w1 g
Stratix V FPGA具有高達四個硬式PCIe Gen3 x8 IP模組,PCIe Gen3 IP模組可支援x1、x2、x4與x8通道配置,並提供每通道高達8-Gbps的傳輸率,且當使用Gen3 x8通道時,相較於之前的Gen2 x8版本,可持續支援2倍的較高傳輸量。在Stratix V FPGA中的硬式PCIe IP模組,相較於另一種軟式執行方式,將可節省超過100,000個邏輯單元。硬式PCIe Gen3 IP模組嵌入PCIe通訊協定堆疊到FPGA中,並包括收發器模組、實體層、資料鏈結層與傳輸層。Stratix V FPGA的PCIe Gen3 IP可支援PCIe基礎規格3.0、2.x與1.x版本。
17#
發表於 2011-12-14 14:10:20 | 只看該作者
PLX公司市場行銷與事業開發副總裁David Raun表示:「Stratix V GX FPGA與PLX PCIe Gen3交換器完成互操作性,在產業生態體系的發展上至關重要,並且我們很高興看到像是Altera這樣的領導公司,能夠開發Gen3產品。當我們於2010年首次在業界推出PCIe Gen3矽晶片,至今仍然是業界唯一量產出貨Gen3交換器的公司,PLX已經準備好要服務這個快速擴展中的市場。」$ ^7 T! K7 u% G# g2 Z

* s5 G4 i% Z) U5 e8 j) {PCIe Gen3是業界最流行的高速互聯技術的最新版本,且PLX交換器融合了有價值的創新與高埠數,以便在伺服器、儲存與通訊平台上提供更新、更強大的設計。PLX Gen3產品系列包括11個範圍從12到48通道的裝置,並開發具有可配置的三埠到18埠的裝置,所有的PLX裝置都已經可以供貨。; j) w# U, p# h4 I& ]6 ]" i% `$ z

4 }' X8 Y6 g) GAltera的28-nm元件產品系列—為特定設計需求量身訂做
: C# B3 G9 ?, a; T* @% o5 {$ \+ u6 P/ V* g  w+ {! r  c- w; z7 z- s
Altera的28-nm FPGA產品系列是業界最完整的元件組合,可以量身訂做以滿足客戶多樣的設計需求。Altera提供Arria® V、Cyclone® V與Stratix V FPGA產品系列,以及它的HardCopy® V ASIC產品系列,可提供客戶明確的差異化解決方案。透過投資在製程技術、架構、收發器技術與硬式矽智財(IP)模組,這些產品系列可以讓設計師用更少的時間與付出,來滿足他們在成本、效能與低功率消耗上的獨特需求。更多關於Altera的28-nm產品系列的資訊,請參考www.altera.com/28nmportfolio
% z. p2 [' T) V! w, g) c, W* j& Q9 I, E+ o' Z
Stratix V FPGA目前已經出貨,高效能的28-nm元件通常是用於需要最高效能與最新科技的客戶,包括14.1 Gbps收發器,嵌入式HardCopy模組,以及可變精度DSP模組。
18#
發表於 2012-2-1 15:48:46 | 只看該作者
ADI FMC電路板支援Xilinx 的特定FPGA設計平台  協助設計廠商縮短開發時間
# X& k- C  |9 e; I) kADI的A/D以及D/A轉換器FPGA夾層卡包含了所有的HDL碼與裝置驅動器,能夠輕易的與多世代的Xilinx FPGA整合% i3 P' _+ ~% u. C6 s1 [
+ M6 a) G5 I7 u6 h& X% s7 g
台北2012年2月1日電 /美通社亞洲/ -- 資料轉換技術的領導供應商AnalogDevices, Inc. (ADI)美商亞德諾公司今天發表2款資料轉換器FMC 電路板(FPGA夾層卡),能夠與Xilinx(賽靈思)的全新28nm 7系列 FPGA (現場可編程閘陣列) 評估套件連結。ADI的高速 AD 9739A D/A 轉換器 以及 AD 9467 A/D 轉換器 FMC電路板支援多個世代的Xilinx套件,其中也包括了該公司今天發表的全新Kintex -7 FPGA評估套件。新的ADI FMC電路板包含了設計廠商在進行快速的原型開發與降低開發時間和風險方面所需要的所有HDL(硬體描述語言)碼以及裝置驅動器。該2款產品將會在加州Santa Clara所舉辦的DesignCon 2012商展中的Xilinx攤位(#732)展示。
0 s0 }/ c9 _3 }8 M3 R, X% O/ k7 D4 k! e8 k  B! s6 u4 Z
「ADI的全新FMC電路板、整合軟體、以及領先業界的資料轉換專業知識能夠以Xilinx的Kintex -7系列FPGA協助工程師進行設計,使其快速的在系統原型之間進行轉換,並加速產品的上市時間。」ADI全球聯盟總監Dave Babicz表示,「同時,我們的新電路板也能與其它Xilinx 的FPGA向下相容,也就是說工程師們將能夠利用簡化的設計環境設計出可以符合一定性能標準的產品。」 # j+ K5 o' w  f( Z

+ |2 q+ x7 j' [! u8 s「Xilinx的特定設計平台提供業界最為詳盡的開發套件,其中包括電路板、工具、IP核心、參考設計以及FMC支援等,可以加快系統的開發與整合。」Xilinx的特定設計平台資深行銷經理Raj Seelam表示,「我們對於像是FMC與AMBA®4 AXI IP核心介面等開放標準的採用與支援,能夠強化Xilinx Alliance Program成員(例如ADI)的能力,提供可以讓FPGA使用者更輕易完成其產品的關鍵性技術。」
19#
發表於 2012-2-1 15:48:54 | 只看該作者
關於ADI的AD 9739A D/A轉換器FMC電路板詳情9 Y# F1 Y7 M$ i, _4 I

# o% b- \( _+ F( n, S4 dADI的AD 9739A FMC電路板以AD 9739A 14位元D/A轉換器為基礎,能夠讓有線電視以及寬頻業者將高達1 GHz的完整纜線頻譜合成至單一RF(無線射頻)埠當中,同時最多只需消耗1.1瓦的功率。AD 9739A 14位元、2.5 GSPS D/A轉換器的廣大頻寬以及動態範圍讓有線業者可以使QAM(正交振幅調變)通道密度提高至現有有線電視調變解調變器密度的20倍。同級的D/A轉換器解決方案則還需要額外的28 LVDS(低電壓差動信號傳輸)配對做為資料介面。 ' J. C: y/ G) d, w/ S

( Z# B! V- ?: v+ t+ Y7 a關於ADI的AD 9467 A/D轉換器FMC電路板詳情
) d8 o2 B! h5 n3 I/ l
( }3 H/ l' t6 P* hADI的AD 9467 FMC電路板以16位元、250 MSPS A/D轉換器AD 9467為基礎,能夠比其它16位元資料轉換器減少35%的功率,並且以25%的較高取樣速率運作。AD 9467為量測儀器、國防電子與通訊等需要在廣大頻寬中具備高解析度的應用領域,提供了全新位準的信號處理性能。* T. [1 c5 y, w3 u$ F" H

& u* k5 {$ L$ H+ K' iAD 9467提供了解析度以及快速的取樣速率,同時又具備了高達100 dBFS的高SFDR(無寄生動態範圍)以及76.4 dBFS的SNR(信號雜訊比值)性能。該元件高達300 MHz類比輸入的90 dBFS的SFDR以及60毫微微秒rms(均方根)抖動,能夠讓工程師在較高的中頻下提高系統的性能,進而減少信號降轉換級的數量,此將有助於降低信號鏈物料成本的元件數量。
20#
發表於 2012-2-2 17:03:27 | 只看該作者
賽靈思推出首款7系列FPGA目標設計平台  有效提升設計生產力與系統整合功能: f0 k$ ], X0 n. x/ A
業界首款鎖定28奈米FPGA技術之完整開發套件於DesignCon 2012亮相 可提升系統效能、降低功耗、減少材料成本支出
  t& F, U! a9 E5 M$ ?
( P% _6 }$ x% a# v0 l7 V全球可編程平台領導廠商美商賽靈思(Xilinx, Inc.;NASDAQ:XLNX)宣布推出首款鎖定28奈米7系列現場可編程邏輯閘陣列(FPGA)的目標設計平台方案,協助客戶加速其系統開發與整合作業。這款針對FPGA系統設計和整合的全新方案提供業者最完整的開發套件,包含機板、ISE Design Suite設計工具、IP核心、參考設計方案、以及支援FPGA Mezzanine Card(FMC) 介面卡,讓設計人員可立即著手進行應用開發工作。- _. M, t0 M6 Q

1 v9 A, p; A) P0 h3 L賽靈思將於2012年DesignCon大會(攤位編號:732)中展示新款Virtex®-7 FPGA VC707 評估套件、Kintex-7 FPGA KC705 評估套件,以及與Avnet Electronic Marketing 合作開發的Kintex®-7 FPGA DSP 套件。賽靈思將在現場執行多種展示低功耗、FMC轉移、高速連結和先進數位訊號處理(DSP)效能等優勢之應用;另外,賽靈思也展示其在業界中獨有的Agile Mixed Signal(AMS) 類比介面功能,同時也已搭載於賽靈思的28奈米系列元件中,可提供通用型類比整合功能。7 N6 o1 Y7 l! X5 d- ]8 B3 _5 U
: y+ v' r; ^4 R  D/ [* s
賽靈思公司全球行銷資深副總裁Vin Ratford表示:「現在客戶可採用賽靈思的7系列元件,直接將FPGA技術應用於系統單晶片(SoC)的各種應用中,這是傳統的ASIC或ASSP方案必須耗用昂貴成本與冗長的開發時間才有辦法做到的事。賽靈思以破紀錄的速度推出28奈米世代的元件,讓客戶可立即以基礎與專屬領域平台,以及產業體系夥伴提供的各項方案,進一步投入評估、開發和建置各種可運用7系列FPGA低功耗與高靈活度等優勢的系統。」
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-19 05:44 PM , Processed in 0.132517 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表