Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 7413|回復: 5
打印 上一主題 下一主題

[問題求助] verilog 觸發的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-3-26 19:44:35 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
請問....
0 [$ s3 W4 w$ _# |$ S  v0 k$ H  K    always (*)
' n- h! r3 W! F/ P, c1 M6 U9 Z+ R     begin1 D% r( ~- }0 _8 J& e/ _- M9 p
      if(!rstn) r1 = r2 + r3 ;
* ?- \; Q; _- F/ c3 Z+ R# {6 M/ g      else      r1 = r5 << 4;
; ~0 S* X( N) g4 J     end& r0 r5 _* V0 y
    請問*是表示r2.r3.r5的意思嗎,如果是像這樣子的寫法是否能夠合成' `; H% Y" F6 [& B, f4 p
   & b- o& h1 h/ k
     小弟才疏學淺...謝謝各位大大
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-3-31 19:57:31 | 只看該作者
敏感表中不仅是要传送的值(r2,r3,r5),还包括判断条件(rstn)
3#
發表於 2009-4-4 08:50:12 | 只看該作者
敏感列是只要那個變數有變化,就重新判斷一次, * 應該是沒有效果才對,# G/ F0 r7 e$ w' B) f& E! U
你這樣寫法應該會造成出來的值是跟你所要的不同結果。
4#
發表於 2009-4-17 18:46:58 | 只看該作者
可以合成 !!: d" A2 M9 i$ b4 Y7 f# j
不過應該是這樣吧. t, ^' F) R! o0 T7 z8 v& i7 A( E
' W7 U% e9 B5 @$ |' Q, C
always @(*)
/ r% R: A) h3 G0 r8 Q- r7 a     begin: N* e" Q# H% R$ v
      if(!rstn) r1 = r2 + r3 ;( w8 ^" h( L  H* |
      else      r1 = r5 << 4;9 V5 v/ h3 I, @9 d' P0 p
     end
5#
發表於 2009-4-22 18:30:29 | 只看該作者
這個是 verilog 2001 的語法喔
6#
發表於 2009-4-28 12:44:19 | 只看該作者
Verilog-2001 added the much acclaimed @* combinational sensitivity list. The primary intent of this enhancement
( o5 q, d5 e7 v1 j. Ewas to create concise, error-free combinational always blocks. The @* basically means, "if Synopsys DC wants the
0 Q: B1 S: Q" p. @# g. ~combinational signal in the sensitivity list, so do we!". Q2 T/ l3 Z2 @8 f
Example 1 and Example 2 show the Verilog-1995 and Verilog-2001 versions respectively of combinational" I& t* R4 F8 B* N! R
sensitivity lists for the combinational always block of any of the three always block fsm1 coding styles.
& ?  I% g  H8 T0 I+ ?/ V) K$ I! T; H4 j  B
always @(state or go or ws)
! y  w) j6 z: j7 Y& ~3 Ebegin" C: \- n% g0 ?1 s& S4 i- f
...
, W7 E+ B2 _3 n- i; y) _" S6 Hend
( m8 o. Q& M& q//Example 1
( E- H! b1 N- j, c+ D5 @" A, |& P- Y8 ]7 K0 K+ j- p

4 ?* h  U+ L. f& Kalways @*. M! K$ d7 l" s* z" c
begin
: J* k  Y% B6 |) N8 a...
. J4 s1 i. T+ O( bend
8 r3 k" u& {0 y* ?; `//Example 2$ P% F' [( ~% J1 P. w. i" O# X
; r- \+ F4 s0 t! a
The @* combinational sensitivity list as defined in the IEEE Verilog-2001 Standard can be written with or without
; {6 T, g; X8 f2 `parentheses and with or without spaces as shown in Example 3. Unfortunately (* is the token that is used to open( S- R# z9 F8 `' w: {( r4 t& _
a Verilog-2001 attribute, so there is some debate about removing support for all but the always @* form of this
* b, \% @) x' j2 l) Ccombinational sensitivity list. In-house tools would probably also be easier to write if the in-house tools did not& c; ?  O( E' y* I4 b1 q; v
have to parse anything but the most concise @* form. For these reasons, I recommend that users restrict their usage
' ~" L+ a+ b" d+ nof the combinational sensitivity list to the @* form.# D( k) W" s, n/ d) K% N8 N$ m
always @*
% V. x) p' H: t  H0 V9 W: Ualways @ *
& [, J! F# u! r) Valways @(*)
; }' r# X& Q- k8 S8 I* p0 zalways @ ( * )
$ ]2 d1 T+ b. i: N/ O//Example 3
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-5-18 08:57 AM , Processed in 0.109514 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表