Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 26944|回復: 21
打印 上一主題 下一主題

分享: system generator for DSP (9.1 and 8.1)下載

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-21 05:37:30 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
好東西分享∼∼∼
, M" S$ O4 `. h小的有system generator for DSP (9.1 跟 8.1版)
) v5 m2 N4 t: `6 |有需要的朋友就花點小錢下載吧...
4 C3 x# L9 R; H! `+ y這東西得來不易...6 t1 @( w) G: X7 e- d
當初我也是找遍各大論壇....# a: d7 C8 q; F1 g
好不容易找到的...
# i# O( ~7 t& Z  a; h3 J3 ^如果有不能下載.....或是有任何問題的可留言給我- {) B2 g) J$ v! A
十分樂意為大家服務...( O: M" T$ c$ e# l) D" u5 b' m
如果有不知道sysgen 是什麼的
* n2 p. q  [, Z我提供官方網頁在這裡: http://china.xilinx.com/ise/optional_prod/system_generator.htm

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂1 踩 分享分享
2#
 樓主| 發表於 2007-8-22 21:06:02 | 只看該作者
貼了好一陣子,都沒有人需要阿...
6 Z* D" h1 J/ F, K6 x還是對這個軟體不熟呢?...
7 r$ `1 B; t* }7 D/ G0 r* A我還是做點介紹好了..! z" l( z' L0 @2 i5 ]$ s
附上的這兩個文件檔裡頭各有一個網址... c' S( B. j; ?: g8 M
只要貼上網址...就能直接下載軟體...  u/ [) \+ b+ \! c
) q3 c( a- A4 r. i5 d) g$ L
System generator for DSP 是一套Matlab 與Xilinx 公司合作開發供FPGA設計的軟體
+ G: ]9 i) u. d4 }8 J1 R% ?( L用過simulink 的朋友對這軟體一定可以駕輕就熟.." {; y" H5 G) y  i9 s
因為system generator 設計原理跟simulink 一樣  ) f& E+ \( f: T$ Y' M& \+ F/ Y
直接拖拉block 設定parameter 就可以偵錯,觀測...修改...模擬>...相當實用的軟體0 [$ N4 D( ]0 f4 U7 N7 j# F, L
不過他的安裝過程比較繁瑣....
: o! _2 H: ~  b! {首先必須確認matlab版本與sysgen(即system generator 縮寫)是否配合..
3 X  y5 T* b% y8 s5 v1 J9 _在安裝sysgen 之前必須先安裝Xilinx ISE
- o& D6 a: ?: B" M( g8 ~簡單的說...6 _" `- B' r, b. G
先有matlab 再來Xilinx ISE 之後才能安裝sysgen
6 P7 ^. q3 f4 R/ o& N0 J7 v至於版本搭配...在此貼上某論壇的大大提供的資料
5 {% @: a, Y5 i; E
( {/ Y" @* ?  N) K% X  {, y9 Z! g- Y7 U) G
System Generator for DSP 9.1.01:
9 b' }4 N& i# b; Y; q+ ?( vRequired:
* C5 L, E6 E! SWindows XP5 Z- Q# e, J. C
ISE 9.1i Service Pack #3 or later
3 [2 K0 g/ p! U2 _: NISE 9.1i IP Update #2 or later
; H" K% a/ t+ Q. FMATLAB R2006a or R2006b from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch
0 Y3 o0 r3 j1 E; W+ Q& @Optional:
+ T* O9 {, _! n5 _# nISE 9.1i Service Pack #3 Virtex-5 LX220T/SXT Installer
0 H% f' i) S% H+ s$ k/ XChipScope 9.1i6 s$ B2 K- |. e  u% Q3 E
EDK 9.1i( C$ h* ]1 [% F% n8 p
Synplicity Synplify Pro 8.6.2+ y; g) O5 o/ F- l. k. x
Model Technology ModelSim 6.1f
+ b; i# b* K# Y
1 `0 y/ g6 C  y1 ]. m& A( aSystem Generator for DSP 9.1:( D+ x3 [/ G. q  {7 B" ]! {" n5 T
Required:
6 u" G: t' r7 ?5 }* a, F# S' i; oWindows XP (Windows XP 64 bit is not currently supported)
+ ]& B( i, C. r! v( k# F2 \ISE 9.1i Service Pack #2 or later
+ N5 c# `& F% s8 Y) UISE 9.1i IP Update #1 or later
7 ?; I: B( O: y" g0 p$ mMATLAB R2006a or R2006b from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch
$ g6 f4 T( ?3 _9 o2 a- J2 c" ^Optional:
8 r& b1 q1 a3 b1 [0 ?+ e$ M8 cISE 9.1i Service Pack #2 Virtex-5 LX220T/SXT Installer1 P; N, O$ f& v. h2 O9 y
ChipScope 9.1i3 l8 f/ {/ @) m& `  g- c7 F2 t
EDK 9.1i
- P6 O) P; z6 Z4 E5 FSynplicity Synplify Pro 8.6.2
, ^  F# K* A+ \! V% D, Y7 J& ^Model Technology ModelSim 6.1f
6 `# f9 ^: x! h4 R# m8 t# ]  a$ h7 \( M0 K# e& ?  _
System Generator for DSP 8.1.01:
; v$ o5 G2 r) n; u) Z' |PC Only ISE 8.1i Service Pack #2 or later
- M( n9 F; L7 X2 @3 B9 GISE 8.1i IP Update #1
+ J: `/ e; h$ H. bChipScope 8.1i* t$ i3 S2 V' _. I
EDK 8.1i
# S+ ], r; k- N- E* J( [9 GR14 SP1, R14 SP2, R14 SP3, and R2006a from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch
, J8 z7 d/ C. U  m1 p7 VSynplicity Synplify Pro 8.4: i' ]! H: d/ B+ z. d* I& B. m
Model Technology ModelSim 6.1b2 m1 r4 ~1 n5 K% H2 L! M3 F
Windows XP & R$ a" o. S4 L" {" D9 B

$ @" A$ u& ^' M% j; \0 ^" z( J) X- z以上內容源自xilinx網站,與剛開始sysgen之旅的朋友共享。特別需要指出的是請使用XP操作系統!之前沒看到以上說明,嘗試在2000系統下安裝matlab2006a、matlab2006b均告失敗。原來安裝matlab2006a、2006b需要.net Framework3.0以上版本,而.net Framework3.0支持xp以上操作系統、不支持2000系統。因此,如果在XP下安裝matlab2006失敗的話,請升級.net Framework到3.0版本。' ?; M2 l  o5 p" [( e8 }+ e/ Y
' ]& A0 z3 ?+ f) X1 V0 j  r
@@@@如需要更詳細的介紹,請連至此網頁..(http://www.edacn.net/bbs/thread-96247-1-1.html)@@@@
) O; ]5 G, t4 O5 m9 C7 l亦或是來信交流交流...我也只是個初學者....vincent.ccl@googlemail.com
3#
發表於 2007-8-24 00:08:08 | 只看該作者
好像不錯的軟體~可惜我的錢不夠@@
4#
發表於 2007-9-7 14:51:20 | 只看該作者
不錯的軟體,但是我沒錢呀------真氣人
0 s$ d% a; g# a7 s* P$ o" G. [
5#
發表於 2007-9-10 15:09:10 | 只看該作者
system gen是好東東沒錯, 真的沒錢的話... 找人借一下囉
6#
發表於 2007-9-10 16:23:13 | 只看該作者

好東西 謝謝分享

謝謝大大的幫忙
0 k/ a5 Q8 f- j7 y, ]9 o) B1 L: V" ^的確是好東西 找了好久 再一次謝謝分享
7#
發表於 2007-10-13 01:20:55 | 只看該作者

回復 6# 的帖子

這種軟體還需要RDB喔???
6 Y: t' }* W  [工具軟體要錢的話,誰還要買板子和IC來用?
- _9 \1 Q& v" n需要以上軟體的,跟我要,我生給你們^___^& W- Q3 z% R% s: ]4 H' |7 m  V
好東西要合好朋友一起分享
8#
發表於 2008-2-8 03:02:27 | 只看該作者
好東西 謝謝分享
. a- F4 K* |/ M5 |( ?! `謝謝大大的幫忙4 |, A& v% b9 T- D( F* u: R$ _
的確是好東西 找了好久 再一次謝謝分享
9#
發表於 2008-3-15 21:43:39 | 只看該作者
oh my God~/ f1 w2 T$ n, k- x. ?" }
付費購買
: K$ c; U' N0 A* H% t4 m4 w) \結果badongo時限超過
1 U8 f5 M1 A9 T* O" Z4 M8 Q不能下了~~哭哭
10#
發表於 2008-4-3 13:40:13 | 只看該作者
想下載看看~
0 ^0 y4 g$ H( z& L8 @/ N6 D正需要呢
# p( Q8 N4 ?1 {3 u0 V, W感謝分享
11#
發表於 2008-4-3 13:42:00 | 只看該作者
還不能下載?4 r3 }0 S. A& {! w* X) w: b! m
錢不夠?: e& F- I; E+ j8 D) `% v7 \, S
是要先發文嗎
12#
發表於 2008-4-11 20:04:47 | 只看該作者
了解一下,新的知識!!
0 l$ t+ n1 t5 t2 X3 _& D% E/ [* T% L8 D5 o! m2 A- j! r
真的是不錯的資料!!
13#
發表於 2008-6-3 11:18:04 | 只看該作者
DSP是我要學習的東西,對於matlab早就想多了解,先對這兩個文件檔先做了解吧!!
7 P, f+ z9 n8 T7 Z) s1 O3 O感謝您的分享
14#
發表於 2008-7-7 19:16:59 | 只看該作者
本FM中频接收解调芯片的设计与实现涉及中频可调增益放大、抗混叠滤波、中频宽带信号采集、数字下变频和数字滤波等关键技术。中频放大和增益调节功能由VGA和IF AGC共同完成,VGA由ADMTV300完成,IF AGC由RX1001F完成,信号采集由LTC2366完成;中频采样采用带通采样技术,数字解调等基带任务由FPGA完成。
15#
發表於 2008-7-7 19:18:23 | 只看該作者
向網二兵9 q, w) J2 e/ \: s0 y' \

# X2 d) [+ Y8 m2 h4 j4 t6 z1 ?; ]8 R" h6 g* K5 Z# D! l) k/ Z

: M9 U  K% a* p5 Q個人空間 發短消息 加為好友 當前離線  13# 大 中 小 發表於 2008-6-3 11:18 AM  只看該作者 . l% ^. `9 q% A8 g* a" _) p
張忠謀表示:「溝通是一種『乘數』的效果,你的學問及本領要發揮到最終的效率,就要靠溝通。不要因為溝通不良,讓多年學習的專長無法發揮。」
$ A. f) p- O, ?+ sDSP是我要學習的東西,對於matlab早就想多了解,先對這兩個文件檔先做了解吧!!  \9 a! _  v1 M* E$ B& {
感謝您的分享
16#
發表於 2008-8-30 19:18:52 | 只看該作者
好東西啊 感謝$ I1 n* ^" q# o" l5 o4 B
可惜沒有積分
17#
發表於 2008-12-12 22:23:02 | 只看該作者

我想要啊 不知道能下不?

我想要啊 不知道能下不?
18#
發表於 2009-6-10 20:20:15 | 只看該作者

两个连接都失效了

哎呦 这两个连接都失效了 谁好心再给传一个啊
19#
發表於 2009-6-25 05:22:34 | 只看該作者
找了好久,谢谢,需要多少钱啊,不知道够不够
20#
發表於 2009-6-25 16:51:44 | 只看該作者
謝謝分享 最近剛好在研究VHDL
$ @' V4 A, R' r) |- t2 S我也找好酒好不容易找到了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-30 06:18 AM , Processed in 0.206011 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表