Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 5318|回復: 4
打印 上一主題 下一主題

[SystemC] systemc中的inout類型,在搭建TOP的時候怎么處理?

[複製鏈接]
跳轉到指定樓層
1#
發表於 2007-7-12 10:00:14 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式

$ @1 N  ~4 L* O  Y( l8 n6 Q; Q求助各位大大....$ z/ ?$ n6 ~6 x% q- u4 Y6 I) `+ H( I
我在做一個cpu agent的驗證,驗證代碼是用systemc和 C編寫的3 [( l, K3 O$ w6 u; d7 G
在ncverilog下做徬真...因為有inout類型的耑口,在徬真時,會有警告.
" p7 ^4 Q4 W: j( Z! |* O/ b* X但是這個警告又不能被忽略,大緻意思是:systemc將sc_inout類型耑口當做out類型的.
- `7 q" p4 G. w0 m' J8 B- t% L' \& C在讀操作中,如果是systemc本身寫的值,而外部寫的值將被忽略..../ o$ g& i% q8 o
請問,sc_inout這種類型的在搭建TOP時應該做如何的處理??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
 樓主| 發表於 2007-7-12 16:20:15 | 只看該作者
+ _) ]' j! ^+ I3 ^

( @& b/ ?  @0 E, [  {8 P+ Q* P高人指點一下啦,我試暸一天還是沒有結果...555553 O- J7 @( }& F6 R4 c
bfm的input接口和verilog寫的 RTL CORE的inout的連接有問題...
! }. M  E1 v. z1 K% K: J怎么解決嘛..555555555555555555555

評分

參與人數 2Chipcoin +8 收起 理由
heavy91 + 5 加油加油~~希望能找到需要的答案哦
jiming + 3 贊助懸賞啦!重賞之下必有勇夫!?

查看全部評分

3#
 樓主| 發表於 2007-7-13 15:46:19 | 只看該作者
謝謝2位
5 u  a( S; y: B0 _/ T" D$ T  d" O' R) }9 f' @# U3 V' ?
我自己重新把TOP搭暸一次,因為我的耑口是inout和inout相連,所以比較痲煩.
/ }* @) H  ]5 s& q1 o. g* i看暸好多資料.我把所有的 inout分別都簽成2跟綫,一個輸入input,一個輸齣output,還有一個控製信號.一個糢塊一個糢塊慢慢的連..頭都大掉暸..
& E! n* x) ]3 M3 w7 e! l4 o+ u/ M- M" ?* W7 x
現在纔髮現,top不是想象的那么簡單...
+ [, g0 x# X/ ^' _% j. C時鍾,復位,連綫,oh my god......
4#
發表於 2007-8-22 12:01:40 | 只看該作者

systemc中的inout類型,在搭建TOP的時候怎么處理?

在verilog 中 必需宣告
5 S- m' P% g( p3 b6 O
9 d" }% ?" d( p9 {, \7 sinout [7:0] data_bus;* D# X9 k3 c) r
wire [7:0] data_bus;. w4 b/ e8 z: d7 _6 _# f4 \
reg [7:0] dat_out;6 P, C9 ?6 d$ I4 W1 t: g
assign data_bus = (we) ? dat_out : 8'bz;
# K, D) |8 |1 i: [
8 m. v. z% {: f1 T8 t當資料寫到外面 時就由we(write enable) 去將dat_out 打開
6 F$ W, E5 M; U. E6 w; h資料讀入時,就可以直接讀取 data_bus資料
5#
發表於 2007-8-22 12:11:21 | 只看該作者
轉貼:
$ b$ \9 N+ B9 U2 G/ j; g9 X小菜门,今天讲一下inout类型端口的建模,和不确定输入的约束!
% m8 a- F9 Q+ P7 v6 H在VERILOG中的INOUT类型是数据通信中常用的,比如,DATA BUS ,
3 F+ f5 ?" S) c5 r3 d0 O) k# Q4 Y* Y, cADDRESS BUS,这些地方必须用到INOUT类型端口,但是VERILOG中的inout
4 Y" T8 _4 C$ q  G9 t2 s/ ~5 l和System C中的sc_inout是有区别的区别在于verilog中的inout就是输出和输入类型. {$ s+ a& I. h$ g8 h/ o  p
而system c 中的sc_inout不但是输出,输入类型,而且可以单独当做,输出类型,它的输入作用只当作一种访问,就是其它的端口或是信号可以访问,不过在VERILOG中如果安排的好,也可以是这种类型,这样可以边对端口输出,边访问,进行检测,以达到正确输出的效果!
1 b- f: S7 j% {5 G; s好了,下面开始正题,估计,很多初学者用INOUT肯定是会用的,但是做硬件,你不但要会用这种语言还要了解这种语言是怎么实现的,只有这样才能成为高手,何况,verilog,  A: d0 H9 c/ g9 h+ [/ M+ S
system c都是开放源代码的,没事的时候可以读一下的!
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 07:22 AM , Processed in 0.172010 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表