Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 12429|回復: 5
打印 上一主題 下一主題

[問題求助] VHDL 程式語言 幫幫忙..

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-8-31 11:59:00 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近剛接觸fpga...但是以前上過的數位邏輯幾乎都不會..真值表...之類都不懂....
6 q. C( @. B2 x4 D, L7 ?! F" u3 g# U最近要學 vhdl..請問數位邏輯的東西 有哪些是必要懂得....?
1 g( z# }7 H0 W0 ?: r. m; k+ z可以有大大 可以給我ㄧ點提示..( A  A$ j& G4 E
該怎麼寫 跑馬燈的程式* p9 [6 t  M7 U
我的平台 有四顆led....
* N! K( O# E. Y' z3 {0 z4 H是要怎麼寫程式 他才會 依序亮.....
& _; f* t9 S1 y" G) g. ^- r學長要我把最基本 輸出輸入搞好..但 哀哀  
! ^2 O. _7 @9 w2 k3 y* H9 u9 z! J( d可以給我ㄧ點提示ㄇ...
. L  w1 ~9 g4 {" D
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-9-1 11:01:33 | 只看該作者
您好/ h" `3 c! n0 H- _1 r2 a5 [
   數位邏輯是基礎,沒有這基礎就去寫VHDL Code" n  L) U! t$ j0 u/ ~" v6 I" v! ~
也不是說不行啦,只不過會遇到很多瓶頸,而且大概, V' h6 K3 g/ h. \/ Z  v0 K  C
到了入門階段後,就無法再更深入了,
- A% a4 c/ g, i跑馬燈屬入門範圍內,找本vhdl的書,都會有這例子,看懂後很快就能修改9 A0 ]4 m, ?6 G) Z3 ^
成你要的功能了,
/ s+ \6 z+ X% T4 x1 Q6 ^以上個人看法,您請參考
8 l9 r4 k; V6 l9 r: f  ], h; H
% c" [! j: u: E( r& P* ^2 b[ 本帖最後由 addn 於 2009-9-1 11:50 AM 編輯 ]
3#
發表於 2009-9-2 22:28:11 | 只看該作者
如樓上大大所說的,找本書來看看!!( @, G  g$ i0 c9 I$ D) d6 @  B
基本上跑馬登的程式就是   除頻→査表
4#
發表於 2009-10-11 18:00:49 | 只看該作者

請問有沒有關於查找的資料呀....( L, o& a) I) j
不太懂他用的意思
5#
發表於 2010-9-29 02:11:21 | 只看該作者
買本VHDL的書籍上都有2 L( J/ n; f  o7 v, d
裡面幾乎都會附上範例跟說明
6#
發表於 2011-1-16 09:52:19 | 只看該作者
下个官方的TUTORIAL 把里面的小实验做玩 基本入门了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-27 08:22 AM , Processed in 0.170010 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表