Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 4015|回復: 2
打印 上一主題 下一主題

[問題求助] 關於SOPC Builder的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-4-10 13:45:35 | 只看該作者 回帖獎勵 |正序瀏覽 |閱讀模式
我是使用QuartusII在DE2的板子上做應用,我建立了一個SOPC的硬體環境,使用NIOS IDE做軟硬體溝通,我從NIOS IDE送資料
' y" B5 L' b, s$ ]進去,並且讀出來觀看,讀出來的值都是0xffffffff,不管送哪個暫存器或任何數值進去,我本以為是Wrapper有問題,我把Wrapper獨 + I1 V; X7 r, k9 ~0 d2 z
立出來,新增為另一個Component,把值送進去,再讀出來是沒問題的,但把CORE包起來以後,就會出現這樣的問題,在掛到Avalon Bus . t& k5 @: z+ E
之前,都有在Model Simulation做過RTL模擬,都是OK的,時序也沒問題,不知這樣的情況是問題在哪?不知是否有大大遇過這樣的情 # {; H0 H. o0 p# y
況~ PS.附件pdf檔為問題描述說明,麻煩各位大大能幫小弟解惑一下,卡住快一個月嚕!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
3#
發表於 2009-6-22 16:18:27 | 只看該作者
You can check avalon slave wait state and latency setting.
2#
發表於 2009-6-8 17:45:29 | 只看該作者
你的avalon slave的timing有沒有設對- T9 K' L7 h* ^9 x: x, b
read wait與write wait要符合fifo的規範喔
" `7 u7 a) m# K# {  v  f3 c1 ]這感覺是timing沒有抓對
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 02:14 AM , Processed in 0.185010 second(s), 21 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表