Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10514|回復: 6
打印 上一主題 下一主題

DFT 使用工具調查

[複製鏈接]
跳轉到指定樓層
1#
發表於 2006-8-14 09:57:15 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
為瞭解大家都使用那家公司的工具來做DFT??
5 D, |& `( f! u' u/ \0 B; g; `/ R& j7 ^2 z0 B5 w, z) w
聽說有人可能用 MBIST 和 BSD?還是比較流行用dc ,但 dc 只是插入scan chain,那 test pattern 用什麼工具呢?TetraMax可以產生test pattern?還是你可能是 Synopsys 的 DFTC、或者 CadenceTetraMAX 兩個都用?
單選投票, 共有 43 人參與投票
27.91% (12)
6.98% (3)
51.16% (22)
13.95% (6)
您所在的用戶組沒有投票權限
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2006-10-3 10:48:49 | 只看該作者
DFT 包含很廣, 你指的應該是一般常用的 scan chain insertion 及 atpg tool 產品吧?
0 S$ J: l0 R1 O: x  ~我們公司現在用的還是國產的老字號 Syntest tool(TurboScan).
$ ]( {. n1 w/ D8 _. {2 I% h9 j' e' q; M" b/ T# l% X
Synopsys : DFTCompiler + TetraMAX
# z$ R& q. ?* x' [( Y' b% s& u2 iMentor : DFT Advisor + FastScan2 C' t1 J6 C7 w1 v5 P
Cadence : ?
# g- f! X& j9 [$ ^+ j1 R  xSyntest : TurboScan(VirtualScan): D2 K% j/ ^$ N7 z8 f( b- h9 Z3 H
% I. X8 x. K4 A; L* C) f
另外 MBIST & BSD 應該不是 tool,
4 ~  X3 T3 n( T3 K$ T5 R: e  r- VMBIST : Memory BIST
1 y3 s# L' i9 H) `, ]BSD : Boundry Scan

評分

參與人數 1感謝 +3 收起 理由
chip123 + 3 熱心回覆

查看全部評分

回復

使用道具 舉報

3#
發表於 2007-6-14 11:49:45 | 只看該作者
I am a new guy in EDA design area. I want to implement the bsd scan for my design by bsd compiler of synopsys,but I+ j: m9 J$ y* O1 n# a
encountered some difficulty, It made me boring.who can explain the bsd flow in detail.
回復

使用道具 舉報

4#
發表於 2007-6-15 09:57:53 | 只看該作者
What's the equivalent gate-counts of your design?
回復

使用道具 舉報

5#
發表於 2008-2-25 13:20:09 | 只看該作者
Cadence ==> RTL Compiler + Encounter Test (acquired from IBM) " l6 \4 p4 l3 e
+ U: v4 g. _  {4 `8 G# x# h7 b
special capability:
/ j  J3 u- B5 [  X4 n( n& z0 ~Synopsys : support multiple clock domain, phase shift test clock4 c" N/ a% j, g: b5 e& I0 G
Mentor   : highest compression
, W/ f4 U- o' v8 U; L; wCadence  : low power handling, pattern fault, diagnostics
# s! A( w5 N% x* u' k2 M8 f  q' F: Z8 S9 n! z
Common new features:+ u, ]2 V& Q$ M) ~/ _0 u' y
Compression, at-speed ATPG, core wrapper, BIST, IEEE1149.1
" _/ o3 m" g& y) V. g- u
) U4 O) T& o& u; C+ J6 \In my image, Syntest had became a service-oriented company.
回復

使用道具 舉報

6#
發表於 2008-8-18 16:53:31 | 只看該作者
我公司也在使用Syntest tool(TurboScan) +1
8 |  ^6 o8 Q5 [0 a" M4 hvirtual scan, ac scan 都有用
回復

使用道具 舉報

7#
發表於 2008-10-21 09:44:10 | 只看該作者
Below is my company DFT releated EDA tools for you reference:
! x& w1 A8 w  _8 T( O! Q1. dft insertion, Synopsys-dft compiler,  Z' k1 T+ N' t, t' }9 N. s; F
2. dft compression, Synopsys-dftMax,# y; M! x  {, _, s
3. mbist, Mentor-mbistarchitect,8 _6 @+ `% ?) g+ t8 e3 l
4. atpg, Synopsys-TetraMax
6 _2 \8 L, c; f) s  H' [5. bsd, Synopsys-dftc
回復

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 12:44 AM , Processed in 0.190011 second(s), 22 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表