Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 26577|回復: 21
打印 上一主題 下一主題

分享: system generator for DSP (9.1 and 8.1)下載

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2007-8-21 05:37:30 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
好東西分享∼∼∼
7 M4 j; F! L9 C9 e9 }小的有system generator for DSP (9.1 跟 8.1版)5 g; k: Z) F: M% r0 q. N) L( O$ A9 d
有需要的朋友就花點小錢下載吧...
+ ~  }+ F4 s, G" ~5 W! Z$ H0 g: J0 X這東西得來不易...6 T) v2 ^2 }- m- h- K- S7 _
當初我也是找遍各大論壇....
( _6 E# R# a8 z1 x3 w9 F- O) e( h, g好不容易找到的...
# _6 t; P1 j; ~$ C( j. V如果有不能下載.....或是有任何問題的可留言給我
$ H/ M3 g, D+ {% Y$ ~& {十分樂意為大家服務...
7 j8 ^* e7 m  n/ s如果有不知道sysgen 是什麼的& ~  z" m" b9 ^
我提供官方網頁在這裡: http://china.xilinx.com/ise/optional_prod/system_generator.htm

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂1 踩 分享分享
2#
 樓主| 發表於 2007-8-22 21:06:02 | 只看該作者
貼了好一陣子,都沒有人需要阿...
& T, w6 ?' m) x- o2 x還是對這個軟體不熟呢?...4 w( v5 f$ i, @9 s
我還是做點介紹好了..- V4 z  l6 C* L( x# @2 @
附上的這兩個文件檔裡頭各有一個網址.." E8 P6 `8 f/ `* \# |6 U: D
只要貼上網址...就能直接下載軟體...! f' V" {# V( _$ x

. T( A: E, z* g( l+ HSystem generator for DSP 是一套Matlab 與Xilinx 公司合作開發供FPGA設計的軟體  x) _; [! o0 o* v; A4 o7 x) D
用過simulink 的朋友對這軟體一定可以駕輕就熟..
8 v" W/ U: B) l) h' L因為system generator 設計原理跟simulink 一樣  " q! D& l+ |7 [/ l# r
直接拖拉block 設定parameter 就可以偵錯,觀測...修改...模擬>...相當實用的軟體
! S( c5 l  P- l- K7 X7 n3 w' K' r不過他的安裝過程比較繁瑣....1 u) P  i0 @- |/ j( Y
首先必須確認matlab版本與sysgen(即system generator 縮寫)是否配合..
7 H/ }) t$ w. V在安裝sysgen 之前必須先安裝Xilinx ISE 6 A! U) \/ ]4 v2 t$ ]: [
簡單的說...
6 P9 n8 H0 ^# K先有matlab 再來Xilinx ISE 之後才能安裝sysgen , t. z9 s8 ?7 G1 Q* I$ P- a
至於版本搭配...在此貼上某論壇的大大提供的資料
( N& {  w, a2 \# x0 h; J7 f
. p. P" |7 K7 E8 E. d
! O2 B5 l& b% K. pSystem Generator for DSP 9.1.01:1 X4 r/ C7 a9 h9 m1 _; ?
Required:/ {* f' ~  A# d
Windows XP
/ ?/ {  {& t" i" w$ R2 _5 aISE 9.1i Service Pack #3 or later' S; A. ~3 R( _+ g/ ^3 r4 E; `
ISE 9.1i IP Update #2 or later1 F# p# I/ |9 Y; j8 [0 P
MATLAB R2006a or R2006b from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch, k: L% J; y: I1 b, z0 ~1 ~
Optional:8 e7 O% J& w7 X8 C5 q  q. r& _
ISE 9.1i Service Pack #3 Virtex-5 LX220T/SXT Installer" d! o* x$ J! C* n$ W3 U# N  G
ChipScope 9.1i3 A& s/ O: B  I8 {# E
EDK 9.1i6 M' R* q, d/ P5 `( s5 m7 n, u0 H
Synplicity Synplify Pro 8.6.2
' Q2 q  m2 ^2 J' ]$ q# s  G) v( jModel Technology ModelSim 6.1f
; Q% M' x. M; t; U' D9 }! ]. m: P* D3 |9 H/ g3 _: M0 V
System Generator for DSP 9.1:
. n' Q- M: L2 j& F# B: ?3 @Required:- A$ b1 ?2 R" e8 K1 i1 h
Windows XP (Windows XP 64 bit is not currently supported)8 a( v, X- \3 [6 U2 [+ z* Y+ H
ISE 9.1i Service Pack #2 or later
  d. z/ C; I8 Q% O; A* o+ tISE 9.1i IP Update #1 or later( ~# t( j7 x* F- h& e0 ]; W
MATLAB R2006a or R2006b from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch
) V/ Y4 K7 Q1 aOptional:1 f% p7 v1 r; S2 ^6 F
ISE 9.1i Service Pack #2 Virtex-5 LX220T/SXT Installer
( |( s6 e' S; _* X/ H7 ~ChipScope 9.1i& b9 V: e4 r, c
EDK 9.1i
* D. V7 n1 H" D4 j# ?7 C; ISynplicity Synplify Pro 8.6.21 N5 `6 }" {8 N% T9 `9 f
Model Technology ModelSim 6.1f
( d/ ?& b' Y/ O' l2 D% n) L
- k! E0 T4 O2 ~! W& _System Generator for DSP 8.1.01:
% e! o5 e3 x) M4 x" JPC Only ISE 8.1i Service Pack #2 or later% r" |+ p* l9 h6 k, Y3 ~
ISE 8.1i IP Update #18 N4 U( X; S  s% B' p/ s
ChipScope 8.1i
3 M; F9 K2 u: b$ EEDK 8.1i
" W1 Q0 X" A" @( h5 IR14 SP1, R14 SP2, R14 SP3, and R2006a from MathWorks; see (Xilinx Answer 23145) for the required MATLAB 2006a patch# c# D8 @  {1 v8 z+ U* Z
Synplicity Synplify Pro 8.40 J& M. m+ y" C7 Y. p/ |
Model Technology ModelSim 6.1b% Z& C+ m, A3 V& V  i9 h
Windows XP ; t9 |  e, Z6 z- i0 |- M

4 ?/ X1 @( b9 Q5 i/ d  d以上內容源自xilinx網站,與剛開始sysgen之旅的朋友共享。特別需要指出的是請使用XP操作系統!之前沒看到以上說明,嘗試在2000系統下安裝matlab2006a、matlab2006b均告失敗。原來安裝matlab2006a、2006b需要.net Framework3.0以上版本,而.net Framework3.0支持xp以上操作系統、不支持2000系統。因此,如果在XP下安裝matlab2006失敗的話,請升級.net Framework到3.0版本。
2 [: q1 c. g9 w& M
# X- f% R4 A  N' A0 ?@@@@如需要更詳細的介紹,請連至此網頁..(http://www.edacn.net/bbs/thread-96247-1-1.html)@@@@
6 J  ?4 m  Y6 i" k4 m. H亦或是來信交流交流...我也只是個初學者....vincent.ccl@googlemail.com
3#
發表於 2007-8-24 00:08:08 | 只看該作者
好像不錯的軟體~可惜我的錢不夠@@
4#
發表於 2007-9-7 14:51:20 | 只看該作者
不錯的軟體,但是我沒錢呀------真氣人
* f0 s. |8 v9 H
5#
發表於 2007-9-10 15:09:10 | 只看該作者
system gen是好東東沒錯, 真的沒錢的話... 找人借一下囉
6#
發表於 2007-9-10 16:23:13 | 只看該作者

好東西 謝謝分享

謝謝大大的幫忙
2 l1 V0 d6 e5 u' D7 e: [的確是好東西 找了好久 再一次謝謝分享
7#
發表於 2007-10-13 01:20:55 | 只看該作者

回復 6# 的帖子

這種軟體還需要RDB喔???
) h" ]. c/ ?3 `. P" H+ A- M5 `工具軟體要錢的話,誰還要買板子和IC來用?6 D' i$ \/ H5 z1 X5 J' \. @
需要以上軟體的,跟我要,我生給你們^___^3 @! h  E; @8 P# T8 k. i% L/ f
好東西要合好朋友一起分享
8#
發表於 2008-2-8 03:02:27 | 只看該作者
好東西 謝謝分享) b5 Y0 Q' \! a  Q3 k% M; I
謝謝大大的幫忙' }: g8 ?% s7 ?& f: A4 C& b2 u( P
的確是好東西 找了好久 再一次謝謝分享
9#
發表於 2008-3-15 21:43:39 | 只看該作者
oh my God~
4 b4 M: o  x$ `, }$ L5 \付費購買
/ b7 Z* g" ^$ W' M) N. q) J結果badongo時限超過/ Z& b2 E; {/ E+ c- c
不能下了~~哭哭
10#
發表於 2008-4-3 13:40:13 | 只看該作者
想下載看看~4 A7 D/ z) Z& v5 p1 z
正需要呢! i; A) l% N+ r! Z: @5 S+ G3 _
感謝分享
11#
發表於 2008-4-3 13:42:00 | 只看該作者
還不能下載?: g8 q) m0 P# Z# O- q" O
錢不夠?* y& W- ?8 J9 ~1 {+ c
是要先發文嗎
12#
發表於 2008-4-11 20:04:47 | 只看該作者
了解一下,新的知識!!
7 J1 l8 A, @; {+ ?; {8 o; N4 i# ?5 u. S! C  a  q7 Q: O
真的是不錯的資料!!
13#
發表於 2008-6-3 11:18:04 | 只看該作者
DSP是我要學習的東西,對於matlab早就想多了解,先對這兩個文件檔先做了解吧!!  ]) c+ Q- H, M' x0 M: f
感謝您的分享
14#
發表於 2008-7-7 19:16:59 | 只看該作者
本FM中频接收解调芯片的设计与实现涉及中频可调增益放大、抗混叠滤波、中频宽带信号采集、数字下变频和数字滤波等关键技术。中频放大和增益调节功能由VGA和IF AGC共同完成,VGA由ADMTV300完成,IF AGC由RX1001F完成,信号采集由LTC2366完成;中频采样采用带通采样技术,数字解调等基带任务由FPGA完成。
15#
發表於 2008-7-7 19:18:23 | 只看該作者
向網二兵
. n4 n# K: T; z+ l
# j4 [6 q  q) k: ^
% R" I) r- T# u) {/ N5 U  F+ W$ r* U7 ~, Y  q% ?' y6 s
個人空間 發短消息 加為好友 當前離線  13# 大 中 小 發表於 2008-6-3 11:18 AM  只看該作者
$ i# g3 \' |* v7 B; c+ M4 B" }張忠謀表示:「溝通是一種『乘數』的效果,你的學問及本領要發揮到最終的效率,就要靠溝通。不要因為溝通不良,讓多年學習的專長無法發揮。」: f! w. b) U: A3 x- N. \
DSP是我要學習的東西,對於matlab早就想多了解,先對這兩個文件檔先做了解吧!!
8 ]# K% i5 H1 R$ t感謝您的分享
16#
發表於 2008-8-30 19:18:52 | 只看該作者
好東西啊 感謝& n( Q: f# b' `+ k* m
可惜沒有積分
17#
發表於 2008-12-12 22:23:02 | 只看該作者

我想要啊 不知道能下不?

我想要啊 不知道能下不?
18#
發表於 2009-6-10 20:20:15 | 只看該作者

两个连接都失效了

哎呦 这两个连接都失效了 谁好心再给传一个啊
19#
發表於 2009-6-25 05:22:34 | 只看該作者
找了好久,谢谢,需要多少钱啊,不知道够不够
20#
發表於 2009-6-25 16:51:44 | 只看該作者
謝謝分享 最近剛好在研究VHDL$ g1 a" s. D/ l
我也找好酒好不容易找到了
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-26 09:02 AM , Processed in 0.147518 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表