Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 10586|回復: 3
打印 上一主題 下一主題

[經驗交流] Verilog testbench直接載入BMP檔

[複製鏈接]
跳轉到指定樓層
1#
發表於 2011-1-21 10:24:18 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
最近在做FPGA影像處理。發現測試影像載入沒有好方法,自己寫了一個。發表在自己的部落格上
3 f- M8 h0 F: h3 I4 J7 u. x: g+ X* Dverilog中讀取BMP檔
0 e, }% e1 z0 v1 n程式碼如下:
  1. module test;) v  F% H/ X. f0 }+ Z
  2.   integer fileId, i, cc;* @6 s$ t. s; z
  3.   reg [7:0]  bmp_data [0:2000000];9 L% c' e- ]$ M
  4.   integer bmp_width, bmp_hight, data_start_index, bmp_size;
    5 I' b: M  Z+ C3 h
  5. : S) I: A9 b' Q$ k# y" Z% T& S
  6.   initial begin
    0 c4 G3 o' Z8 U; w) ?1 u9 D7 c
  7.     fileId = $fopen("gray.bmp","rb");
    ! ~) Y- i; u4 [! l9 m" g- G
  8.     cc = $fread(bmp_data, fileId);/ _0 Q- ^" y0 R" Y* r
  9.     bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
    & Z; d: d6 z; K$ L6 B# u2 p
  10.     bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};
    6 |& R/ x' Y* U7 {* p  R. A8 W* d
  11.     data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};3 a, T& p5 X% y) m( c( q
  12.     bmp_size  = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};) a) D/ }1 ~: v: d
  13.     for(i = data_start_index; i < bmp_size; i = i + 1) begin
    ; w" f0 A6 ]) b6 `. b
  14.       $display("%h",bmp_data[i]);
    5 H5 q$ n# P9 j& ^+ v8 \# O, T7 K
  15.     end
    % D) R: D$ i/ o9 n" s" V  U  z
  16.     $fclose(fileId);& `6 f) V; I; w' S
  17.   end
    1 x( p6 b8 |9 W' V8 y. A
  18. endmodule
複製代碼
資料格式沒有解開,要用自己排。
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2011-4-20 13:35:36 | 只看該作者
感謝分享, 有機會也來試試看, 我之前是將圖檔轉成文字檔, 然後在讀進系統做模擬使用.
3#
發表於 2011-9-14 10:10:05 | 只看該作者
Thanks a lot!!; q$ ^9 f$ {! J4 d4 N# o2 V
學到東西了!!

評分

參與人數 1Chipcoin -5 收起 理由
tk02376 -5 Copy reply! YOUR repley?

查看全部評分

4#
發表於 2015-8-29 11:58:10 | 只看該作者
注意讀到的影像是反的(下至上, 右到左)
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-15 05:10 AM , Processed in 0.123516 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表