Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 3166|回復: 1
打印 上一主題 下一主題

[問題求助] 請高手幫忙看一下

[複製鏈接]
跳轉到指定樓層
1#
發表於 2008-1-12 10:50:38 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
20位元BIN轉BCD的程式5 S. [; T8 X6 L0 K) W: \6 O
LIBRARY IEEE;
0 k" n: t0 K5 ]% d3 `use IEEE.std_logic_1164.all;5 q( m9 _1 F' V. w6 V
/ b5 I5 H, a8 E4 V, q
entity bin2bcd is
8 y) v; P, v4 @- Gport(
. P' u* z2 c# C0 z1 }, {  data_in : in std_logic_vector(19 downto 0);
; z- E" Y, e; D- t& C  EN : in std_logic;
" I3 a  B7 h$ }+ D* g  data_out : out std_logic_vector(23 downto 0)1 f; D. d' r# \; a
);
0 {8 A6 V# n  k6 O7 a; k8 q/ |end entity;4 Z+ Q2 N" j4 C

- [' P  K' o* S& r5 c" G9 |2 b' r) q$ Y! R/ q7 l. u1 M! s
architecture bin2bcd of bin2bcd is
! n7 _$ @7 u) ybegin
) G" s0 r# Y5 `3 K8 r8 `  R/ M1 W
6 ]; k- _, f# Z; Z  Y2 }process(data_in, EN)+ o# `7 m; V, C; @
variable data_in_TEMP : std_logic_vector(2 downto 0);
! i* A3 k. F, jbegin! x7 Z# k; T9 u! Q5 ?" [
  data_in_TEMP := data_in(19 downto 1);
" u: w/ T% g# j# `' G" @( K1 F  data_out  '0');0 T# F% C4 u* v9 P
  if EN='1' then0 n  h: f% V  j; S7 p; K$ O
   case data_in_TEMP is
8 m6 q2 k7 O, h0 L6 _    when "000" => data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out(23 downto 1)  data_out'0');
  i. d- k' }/ G6 _   end case;
& J7 B4 F, p- S% O) W
' [+ P2 P% K$ e   data_out(0) <= data_in(0);; \9 {6 K: ?! P
  end if;" n! b: O; a1 Y- W# j
end process;. t& r4 z! T. J

; y+ C. E$ Z, e3 Xend architecture;
( G4 i5 y' C: j4 b! ?" |2 r8 Q
$ S. ]/ Y; F; C: k2 M$ `8 K6 {
7 ?; v- Q& I- M: WCHECK的時候第一行跟if EN='1' then這行出現錯誤
. s4 K* e8 G! K; H- R# P" A- [7 n會是什麼問題??
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2008-1-22 17:03:26 | 只看該作者
variable data_in_TEMP : std_logic_vector(2 downto 0); ~~資料寬度 3 bits. T0 ?4 @! g# {, j" ?
data_in_TEMP := data_in(19 downto 1);~~資料寬度 19 bits ??
3 D6 h# f9 O7 x3 }" m8 F, [data_out  '0');~~????????目的為何????
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-12-30 02:33 AM , Processed in 0.154009 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表