Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 13246|回復: 9
打印 上一主題 下一主題

[問題求助] 產學研合作?SoC總聯盟與TSoCC的社群合作接觸...

  [複製鏈接]
跳轉到指定樓層
1#
發表於 2008-3-30 13:24:29 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
也許 都到大陸去了! 台灣RD們該怎麼走呢?  所以好幾個版的討論人氣都熱不起來... 所以需要產學研合作?
; N  l: ?' x3 O  V7 H( s- V不然如同 jianping讓台灣真的也有一個專業的討論版
; X) J  L( K" N: u& O* z5 o3 P5 z9 B% W  G* M: ?
尋求學界專家,業界先進們大家意見:2 Z6 i; f6 b  m, W2 ~! W
5 o$ \8 R! q8 A( i- v1 ^
chip123 與 SoC總聯盟能 有怎樣的合作?學界論文研究討論?2 W- v% ^' q" G: O* o, i
chip123 與 TSoCC, STC,  甚至與 SIPO 能有怎樣的合作?官方研究界技轉討論?系統晶片期刊討論?8 ^  o! ?3 r3 `+ \* O

4 W# Q) T; o' @# Y( T> From: 羽君 . |6 P( f# J7 }2 I1 ]
> Sent: Wednesday, January 30, 2008 4:00 PM
) H2 {6 d5 w3 x3 `' Q( q. t> To: chip123王志明 ' M: O4 ~4 J* y4 }
> Subject: Re: about 96年度SoC總聯盟成果發表會# l7 [( }9 I* m* K! P( R
>
- R: D& I2 P; r3 U5 |, ?8 P: S- j> 由於總聯盟將於2月底交接, 之後的活動我會再請新聯盟的助理與您聯繫, 目前相關的活動訊息煩請您參考總聯盟的網站!! H2 v3 h7 d# D# y4 h
> http://moesoc.ee.ntu.edu.tw/index1.htm
6 O* q+ y5 _$ I, X- a> 謝謝您!祝您新年快樂~  0 r/ A! H4 S3 l& C5 I) F. ]$ ?
> 羽君
2 a+ {+ _# _# N1 g7 [  C
# ^1 Y8 t/ U* [  tFrom: <@itri.org.tw>
" h  D1 ?6 [3 B+ ^! CSent: Sunday, December 16, 2007 11:47 AM2 E5 |9 r7 x; T& K" [( P; S( J% m
Subject: Re: TSoCC 回覆: 與Chip123 合作的相關說明
2 f3 V- v0 S0 N" U& A& }1 r& l+ F* F* A# k. k# Y6 ~
> 最近在Chip123看到許多好的Report (e.g., US WiMAX program v.s. M-Taiwan ).# o$ ?) c, M2 M/ z4 u
> 眾多的會員回應也代表了 Chip123的壯大. 也許STC可以好好思考雙方的互利合作.
, h& f, h3 C, }> TSoCC may have new change within our organization. However, we also have3 Q$ V1 Z- `6 w
> SIPO  (Semiconductor Industry Promotion Office) channel.- ]5 T' D0 X. v  G$ @
> . u% k+ b8 I) {; ~% I/ |
> Regards,& {$ ^% _& N6 L- U1 n1 s
> $ @* A' H9 R5 B8 T0 P- C
> 安宇 謹上* p" S' S1 x- C3 P$ D' K4 b- h

$ P8 i* `& A4 G: l! F) o: [
+ W0 p- f( e- j1 a8 R技術創新需多方合作
' T# X6 _  \% ^新浪網 - 2008年2月29日' h1 }3 e# H( a  q. q/ ~0 ~* t$ ]# G5 I' n
華虹NEC針對市場對微處理器、通信類、消費類和智能卡等IC產品的需求,開發了性價比較高的0.25微米CMOS工藝技術平台,集成了領先的閃存技術,為SoC(系統級芯片)產品提供了大容量的程序存儲空間。此外,華虹NEC開發了針對SoC產品的IP(集成電路知識產權)資料庫,創新性地為 ...
7 \5 u' a; z4 O( S, o: f- S( G, M/ g
張汝京:中芯國際「菱形」戰略架構成形' b3 M0 \1 |( i" _7 ^
科技網 - 2008年3月18日
, Z, M: O3 S, a. {2 ^7 N7 V張汝京表示,由於深圳是主機板、系統業者巨集的重鎮,因此中芯國際以12吋廠服務當地客戶,將會是以先進製程但走系統單晶片(SoC)路線為主。武漢的新芯則將同時生產邏輯IC與快閃記憶體,製程技術將以90奈米、70奈米與65奈米為主。 至於8吋廠方面,張汝京指出,上海的8吋廠的 ...
! `* b$ S/ k% ?' T: v: C
2 D/ Y# h8 Y3 V; S* |' D- `. u" Q- V0 p[ 本帖最後由 jiming 於 2008-3-30 01:58 PM 編輯 ]
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂7 踩 分享分享
2#
發表於 2008-3-30 14:22:41 | 只看該作者

CHIP123科技論壇的優點在於即時性和便利性

CHIP123科技論壇的優點在於即時性和便利性5 J  g! g( d0 h! A$ w5 ^
, x; F, _: {/ y# K  s2 O
學界論文研究討論: 這是個很好的交流平台,老師們或研究生可以把正在研究的成果放上來和學術界師生一起討論,不用等到一年一度的ISCAS會議 或千里滔滔到國外的研討會上才發表研究心得.7 W' p# l1 J8 O* |
系統晶片期刊討論: 這個跟CHIP123創刊時期 介紹各大學IC設計晶片研究中心 有異曲同工之妙 , SOC聯盟各大公司/單位 可以介紹自己的產品和研發方向 RD們更可以交換SOC產品開發心得 而不是在產品發表會才知道應走的方向
( }) F) _0 \- Z# J, R: Z- {
" }2 N% Q4 K9 K; S& G: q0 HSOC/IP討論區應該可以另闢這幾個專區,類似板主討論區 有特殊身份的專家學者 或soc聯盟會員 才能登入參與討論 1 Y# u, {3 i; \
                                                             至於特殊身份帳號申請可透過各公司/學術單位統一窗口來辦理  8 j6 b9 s* Z) |8 Y' N
- J* F" E$ _4 B% P! c
[ 本帖最後由 masonchung 於 2008-3-30 02:24 PM 編輯 ]
3#
發表於 2008-3-31 22:31:51 | 只看該作者
masonchung 大的提議,也許底下這則報導所提及的 學術交流,在chip123也是可行吧?至少 SoC Design 知識領域的 即時性、便利性、互動性...
' p3 ~6 F& |0 L! e  D3 s- j# F
官大智:學術交流 網站發表新趨勢 0 d' A6 ~# {2 a9 D6 O
  / I- `* n7 l# C; p; L6 |
學術交流第一個想到的是跟同事間的合作。台灣學術界各做各的,同事間的合作可遇不可求。以我的經驗,參加學術研討會也是與同行交流的重要管道。最近我有更好的方法做學術交流,就是在網站上發表成果,也可是部份成果。我曾用Google scholar查自己的著作資料,結果發現自己的著作比預期多,像上課講義或看完期刊論文解說和註記也被人引用。
3 W: {, E2 R. U5 \! o
" D( X  A# ?% F( O- H中山大學重視網路發展與應用,一個由西班牙學術機構做的世界大學排名,在台灣的大學中,台大排第一、交大第二、中山第三。
/ D0 k( X9 V- I/ X
  t  R$ ^" O  `1 M5 Z一般國外學者對台灣的大學多半不很清楚,經由網路是認識一所大學很方便的管道,中山大學以往在這方面做得很多,排名自然很前面,去年中山大學資工系聘請一位國外老師,這位老師就是看到中山大學在Webometrics的排名,所以申請中山大學,也很榮幸能聘請到這個領域�有名的老師來中山大學。  
# q, v3 F" t' Q& h' @, c7 ~  
1 Z! z+ i, N6 v! ?8 i報導日期:2008-03-31
  F7 I2 a7 {6 A7 ?* }$ Q. X, G2 o新聞來源:工商時報  A12版/學術傳播與國家競爭力系列論壇2

評分

參與人數 1 +3 收起 理由
masonchung + 3 新趨勢 新力量 感謝啦!

查看全部評分

4#
發表於 2008-9-15 18:20:36 | 只看該作者
工研院系統晶片科技中心 系統晶片技術期刊SoC TECHNICAL JOURNAL 各期題目總表
; ~! `: K' d/ M& F8 }
" Y) J% U5 K* x. W
期別
技術領域
題目
作者
003
通訊系統/晶片系統
' [" a$ a0 o2 w9 o9 m2 b6 R
超寬頻技術發展剖析
, k- t# ^5 L, `4 v2 n. ?2 t0 ]. M4 {Development Status of the Ultra-Wideband Technologies2 W6 q5 s" E8 @( y( X3 Q/ L
莊郁民
% \6 m9 c& ]9 x1 G; K
通訊系統: k# c6 v# q  y" Q" P7 N2 k1 O
多頻帶正交分頻多工之超寬頻設計與挑戰MB-OFDM Design and Challenge
5 n* q( L# \$ {9 h/ z: O% o! M
陳慶鴻.呂明和.蔡文聖.廖丁科. X, m- p6 s5 e$ ^: |) s0 u
通訊系統/晶片系統3 E4 w. F! q  U8 C
WCDMA/GSM雙模CMOS接收機; j1 ?+ e) y/ v  |
A CMOS Dual-mode Zero-IF/Low-IF Receiver IC for WCDMA/GSM Application" r- W0 W& f  d, T# d
許峻銘.郭明清.樓志宏.歐威揚.蘇秉恩.楊子毅1 L4 O7 N2 L4 E& F: g" d8 S
通訊系統/晶片系統
' [' V, f5 g9 Q) n/ E" ~
應用於超寬頻發射機系統之可程式化增益濾波器
2 A: J, T8 D: Y  C3 [* U# DA Programmable Gain Filter for Ultra-wideband Transmitter System7 N& c( m& i8 X
李志常+ W& B1 ]$ n0 H' ^
通訊系統/晶片系統
; U8 C4 ], U2 Z8 E9 p, `
DTV 調諧器架構分析
- E9 o0 p2 j6 F% S* `# rDTV RF Tuner Architecture Technology Analysis
# W' u1 D) k5 F$ |! b4 s- o
吳思賢
1 r, n! x: W( q( u+ {3 U- ~
晶片系統3 l# g& l. H6 p
通訊系統中數位類比轉換器(DAC)之電路設計3 v1 u- F; c' _/ Q' g2 @
Design of DAC for Communication2 y7 a2 P$ O, S/ z
劉沛潔6 ~9 ?* G; h  Z) x4 Y% {" I
晶片系統
0 S1 Y$ H+ _3 `$ \  ?8 R3 L7 H
架構階層功率估測模擬器
; H0 R( E7 u# u  z5 `# `Architecture Level Power Estimation Methodology& G! a% y3 l9 m0 c" `
張展豪.陳玉書.廖宜道
: i5 D; T, [; W% r/ U% R
晶片系統+ o, G. k( N' I
DVFS SoC設計與實現
8 x# F7 |& B+ ^) G" g7 f4 @DVFS SoC Architecture & Implemenation
& Z* |/ n1 F* Z- A- Q0 U' J
賴建元.林勁宏2 i4 Z+ ]1 }1 @: ^% A1 K( R
晶片系統& o% L9 S- o- L2 d( w! }
平台式DMAC之考量與實現$ ]; y! O( ?( |5 ~
Consideration and Implementation of Platform-Based DMAC5 c1 r1 b  r! ?" s) ?
沈志堅
9 O5 v# R- |. ~6 ?
晶片系統! N2 l; M7 z' n
平台式記憶體控制器的考量及實作
) P1 {6 U) E% x: DConsideration and Implementation of Platform-Based MC: v; e5 L' Y$ Z
林群超
# V) p. I& d6 z
通訊系統
  K8 _2 |. x& c) M
多媒體壓縮標準 H.264 面面觀0 R6 H) h9 Q3 ^% I) f7 s5 T- I
The Profile of H.264& r8 d7 ?- w. j4 _* X# ]+ G
曾紹崟.許志高5 L3 E- M0 }/ Y
晶片系統
( V# ]6 w1 j3 P* i6 u% {: q+ \+ Q
準確的SoC混合模式模擬  V* y3 O; L0 S( K' a6 f
Accurate Mixed-Mode Simulation for Soc
, Q1 s) {7 E' l# L
張永嘉.林詠捷.何榮基.羅珮文
9 H8 U" Z: s7 e) ~0 H% Y# Y
晶片系統
- a8 x6 G' f/ w  C/ Q1 u: x/ {
應用於改善可靠度/可製造性後段設計自動化流程的方法) h+ o: h0 a1 v5 C. m6 J
Design Automation of Backend Flow for Improving Reliability/Manufacturability
( L" k+ z. f4 D% O/ l
蘇秀雲.黃俊才.彭政傑% G- x, z" b  e! S& o
; K' y( y  ~/ L7 \# _6 P( @
晶片系統- h0 |# \9 g$ P) L& V+ a% Z% ~
Soft IP Hardening方法與實現
1 j- _2 K) j& D$ Z. x1 fSoft IP Hardening Methodology & Practice0 A3 h5 ?. w5 ?5 C
林勁宏.傅志新
! s6 J  k6 d2 M/ C
晶片系統
- F5 Z9 h  Y5 {+ `" D. }/ ^
0.13微米混合電壓共容輸出入單元設計簡介2 I# x( W) H3 L7 V
Design on Mixed-Voltage-Tolerant I/O Cell in 0.13-&micro;m CMOS Technology
3 Q* C' e5 {* e1 g' C
莊哲豪
  l7 ^% n; T, L1 G1 U: d
004
通訊系統
' Y% }! x* f: u% w  g# ^! I+ k
WiMAX發展之機會與挑戰
& X- r, t8 q- J; u  BWiMAX Opportunities and Challenges% i# l% V  l0 l% G
康志堅" H, L: ]( A/ Q8 f  J$ z" q
通訊系統9 V/ n2 R; ?( i! W% e
WiMAX 標準與認證簡介7 X) M  m5 C3 X; T# @3 ?9 B8 i
An Introduction to WiMAX Standard and Certification
. x! Z. u5 `7 a5 ~/ R" @% m6 G
甘傑英! g9 t3 A9 S3 M, o) k- c
通訊系統/晶片系統" ^9 Z" c; s" I
DTV調諧器的低雜訊放大器簡介
7 p& }2 V" |) H3 w( l/ L5 c5 _Introduction to a DTV Tuner Low Noise Amplifier % |7 v% a! w7 S" R1 B  W3 z1 P
李青峰$ o; ?, H; Z) ~: u( Q3 I) w% O( b$ O
通訊系統/晶片系統$ T8 D; G6 x( _/ F6 e
全頻CMOS UWB接收機
3 h$ L. M$ ]& S5 b, d3 ^A CMOS Receiver for Full-band UWB Communication Systems 6 f  G( C* x% c5 H% J
王志偉.陳彥宏9 Q3 U: I) L! D9 W' }4 G% ^
晶片系統4 ^9 I" o! g5 t7 S( j
應用於動態電壓調整系統之數位式可程式化切換式降壓器
# i% v( Q0 C  JDigitally Programmable Buck Converter for Dynamic Voltage Scaling Systems, w& \: F/ \. y5 y7 ^, v
林崇偉* _1 R5 R  r) z8 ^" E! C% W
通訊系統/晶片系統, g. x$ }% ^2 A. W
射頻積體電路之靜電放電防護設計, o" A5 Z. X4 ?" L9 _% F
ESD Protection Design for RF IC) _5 I3 k+ z! w# k) w
黃柏獅.徐育達
- t" a- z8 [; g3 B
通訊系統/晶片系統: `6 X) e8 d7 @2 U
PAC數位訊號處理器
% O; X& I% L& A. _( k8 \2 ^) ePAC DSP# ^5 X2 n7 {# q6 h% i- f
張展豪.
- S) H+ \5 W9 v/ R
5#
發表於 2008-9-15 18:21:15 | 只看該作者
通訊系統/晶片系統
* v4 V0 M. L1 y9 G
PAC DSP 驗證流程
; c) t' [4 u1 `PAC DSP Verification Flow; U0 E& Z& n/ b! e7 q( p
廖宜道.
  y  ?8 g' E- Q4 R
通訊系統/晶片系統2 W: O1 H6 ?- ^, M. Y  p* Z
以系統層級設計方法建立PAC PMP SoC驗證平台
# i! e* u, T$ X; F+ i% NConstruct A PAC PMP SoC Verification Platform Using ESL Design Methodology
( M, k% L, y2 S$ u# U
陳紀綱.蘇培陞* ]8 _- X# W9 ^' X
通訊系統/晶片系統6 Z( Q0 a. O; Q7 E  S: S) s3 f6 ]
應用在PAC平台上作業系統層級的DVFS設計概論
6 N3 U$ }) r) _5 R" U2 x4 ]OS-Level DVFS Mechanism Concept and1 T: W# B0 o. _# x6 _4 r
Methodology on PAC Platform
/ D. N# Q3 I" G
莊維彥.張明偉
1 ^* @* h& B8 @0 e% k
通訊系統4 l  `/ Z. v  O" p
H.264/AVC、VC-1 與 AVS-視訊演算法比較
7 |' ?& W8 C# y' `: qThe Comparison of H.264, VC-1 and AVS-Video algorithm: \; w2 b7 J) e. j" H$ P5 ^$ T
曾紹崟* d8 m% |: b5 O  o$ I6 p
晶片系統- r0 F0 X- y1 c/ T
可操作在GHz暨50%責任週期之新型虛擬分數除法時脈產生器
$ a, d; q+ ~1 b6 ~The New Approach of Pseudo Fractional-N
9 Q4 K% L8 M4 \/ M3 M9 K" dClock Generator for GHz Operation with 50% Duty Cycle

% N2 {  S( b  J# E! O$ l9 Y. W
楊維斌.陳慶造.郭書菖3 Z' c4 n8 c" E4 J6 _
晶片系統
4 I$ E5 V5 {5 `2 i5 G( A5 i7 M2 _8 T( Z
靜態隨機存取記憶體 (SRAM )中的漏電流控制
6 E# w  ~! J. S0 i7 W+ p( qLeakage Control in SRAM ; Y8 u+ J; u  T" W0 d# T
  * Y+ Q# b3 ]; z' C: J
洪子健9 w9 |+ S/ [, A7 c6 I. \
, \$ `7 K% e# C. q3 q8 r
晶片系統
! J; f& J" _  i: F* l
用於降低峰值電流之相反相位時鐘樹
0 `3 Q! Y" q4 p( p8 g) ~, l# J) JOpposite-Phase Clock Tree for Peak Current Reduction
; N8 \. s6 x0 w. M
" `' }5 e1 b2 p
聶佑庭
/ ?7 T& Y6 y0 t; X  L0 d5 T& B! [
晶片系統0 m% B% y5 O* P4 A" @/ s
先進閘級層次模型評估與研究
  b+ f+ p) a9 p8 xAdvanced Gate Level Model Survey and Research
& W& T. H4 ?! b) U$ Z
邱怡芳.郭建興.鄭良加+ B3 Y  X3 Z: c; e) _8 J3 {
晶片系統
4 r' \) y- C$ U+ E* }, f
深次微米元件特徵化趨勢與考量5 f* P5 Y7 U5 q% \
Trends and Considerations for VDSM Cell Characterization
9 p( L" \7 H8 b9 w8 P9 C" n6 Y. o
郭建興1 w) k# b, @! C1 c/ a% q4 L
通訊系統/市場分析3 d3 v/ L2 e9 p6 r
DVB-T/DVB-H Silicon Tuner市場分析( C& z) D9 o$ \$ A: k
Market Analysis of DVB-T/DVB-H Silicon Tuner
+ @# B+ U' k" s* S$ Q/ S
洪威
7 j' F/ t6 x4 H2 {, Y
通訊系統/晶片系統* x* H7 H. i; ^1 t! n7 v" g3 v0 k6 |: J* r
WiMAX RF Front-end介紹
- e/ V8 d9 j& J8 O+ d, _6 sAn Introduction for RF Front-end Circuits Used in WiMAX Receiver& U4 q3 O2 C* Z: i* |
黃大榮0 y7 k; M" I' |4 j
通訊系統
3 d' A3 @! u, l; [) |! J. }  _
IEEE 802.16-2004正交分頻多工實體層之下鏈BER模擬
3 S, W9 ]4 g- B1 o2 S9 M! k7 ^Downlink BER Simulation for IEEE 802.16-2004 OFDM-PHY
: d, [6 F9 c- [3 @. |( t
丁邦安.吳家豪呂明和
+ V- N. i  |3 z4 q* I2 [" M, O9 y; o' {
通訊系統
" P$ }6 w; i+ W
IEEE 802.16 無線網路安全技術介紹4 E; X7 E( }3 d/ C4 W
Introduction to IEEE 802.16 Security, ]$ i$ S! J3 d1 C9 \+ i
林頌為
* T# a* ~! N4 ?( o" I8 _, `
通訊系統, e9 h0 O0 q9 M$ h+ m5 h
以Altera Stratix FPGA發展板實現一可變組態多路徑衰減通道模擬器
+ s$ s7 g( P$ m8 I. D( \: hImplementation of a Configurable Multipath Fading Channel Emulator on Altera Stratix FPGA Development Board
5 [1 N7 {4 y) z7 Q+ ^8 a
唐鴻威.許仁源
5 Q! t6 \& E& P- H' Y+ `( e8 [丁邦安! R4 B- B' R. p! J% ~
晶片系統/ `; V& c, B, q- H
一套實際而有效率的處理器自動驗證方法! W8 Q# a2 b% N6 v* s) T/ b
An efficient methodology of Processor Automatic Verfication) y0 }4 A, B' C
劉明倫.吳日昇.林仁傑
1 U' w# t! l! b2 w$ X$ `* w
通訊系統/晶片系統
$ O+ S) O2 @2 d( J- \& C: a0 Z
應用在數位訊號處理器的先進直接記憶體存取控制器
* I: _: j/ ?- Q9 L4 B3 W) z1 XAdvanced DMAC for DSP Processor$ W$ {% T& O5 O5 j; E9 Y; d' k
陳玉書.屠禎繼
2 K7 s$ M6 X" B6 O' l2 \2 K7 z% s0 x
通訊系統
1 T, R  L6 P/ p3 P
模型搜尋式的可變長度編碼法
9 L- M1 Q" o; u2 k9 h6 j% ~A PATTERN-SEARCH METHOD FOR H.264/AVC CAVLC DECODING, e' E6 j) P* u( R/ v  ]
曾紹崟.謝天威9 a3 g* K* a8 ]; j2 l8 {5 o! x6 I
通訊系統% @+ j+ `0 h* y- P# U
超高頻無線辨識系統應用與標籤設計8 J7 }% J' l' {0 \4 h
Tags Design and the Applications of the UHF RFID Systems
# x3 C$ L# B) ]' s9 U
張守傑1 ~; g( U! O) x2 q8 N3 g3 F
通訊系統/晶片系統
: t% E& M/ a& A" y
A 3mW 20KHz Sigma-Delta Modulator with 93dB DR in 0.35um CMOS* R, m) S, L% p/ z/ t! P/ f
張用璽8 o( D/ U  i6 \6 Y/ t
6#
發表於 2008-9-15 18:21:48 | 只看該作者
通訊系統/晶片系統/ O( S) f8 |- _" l9 a
PCIe Gen2 實體層類比前端- _  J! L  l0 k6 f) U, `1 {3 e
PCIe Gen2 Physical Layer Analog Front End
) v' U; v+ M/ ^6 W3 R
翁盟智.林穎甫.陳欣昭.黃立仁
! ?2 D& F* Z3 e7 t! q
通訊系統/晶片系統
) b  ]# d) M% ~8 }  k
多頻帶鎖相迴路分析及設計
) B( |" A  s8 f* w& H2 w4 y+ jAnalysis and Design of Multi-Band Phase-Locked Loop( g0 a. q# H  y7 E7 P: \# C$ h
陳慶造.張仲宇.卓峰信
; ]0 J( I# B, g4 _* m9 ~: L
通訊系統
) ?$ E9 U* I' S* |3 B
應用於Giga-bit/s時脈與資料回復電路之相位檢測器
% y# O5 r- d6 g  y7 Y9 BA Phase Detector for Giga-bit/s Clock and Data Recovery Circuit2 t; `' B1 Q4 d3 ^  ]3 O+ L3 g
李瑜.鄭乃禎
, \) v& G7 d1 _% J, y3 o' h4 E
晶片系統2 D# W0 @4 i& m8 l
閘級層次低功率實現技術之改善
* m: H5 d# O5 P: j  }( {; E$ Y! _% y% KImprovement of Gate-Level Low Power Implementation Methodology
6 c# B6 V1 |$ x0 J
龍巧玲.陳繼展
4 g' i4 l' H' S# {; ^
晶片系統% D' j$ o, x/ Y4 E2 n
可製造性設計應用參數的萃取--晶片導線特性可變異性研究& k8 i; n& X7 s
A Study of Interconnect In-die and Die-to-die Variations for DFM Applications  X3 k2 w2 A2 ?. e7 ~) Z9 x
彭政傑.陳來福.黃俊才.黃清吉.蘇秀雲
. u$ N- y5 P* Q' A; B+ j9 U3 k
晶片系統( {: Q- e. t* `# k" [
南港IC設計育成中心, Z6 u0 Q9 T, e4 g& ], K2 {2 g, u
The Position and the Role of Nangkang IC Incubation Center+ u8 n+ N  s- X' t$ V; b
陳燕民.黃珮貞.宋瑩6 h# X# F, ?/ R
晶片系統. Y  w  j' o# U% k% h' K5 Y
行動寬頻裝置的發展現況與市場前景
. d9 Q# i" N- F  y/ L& F1 pCurrent Status and Market Outlook of Personal Mobile Broadband Devices
$ y& ?( ?) T9 s
朱思穎
# \* L$ L7 Y( @2 S+ b5 y9 {0 P
通訊系統/晶片系統8 y% P5 X8 M; V; a% A
PAC可攜式多媒體播放器系統晶片8 Q1 q- Y& N( ?1 e' u; y
PAC PMP SoC
4 `' y* b% E5 Z$ d- U
謝天威.紀坤明.賴建元
: m' w, c$ s4 P$ m7 {& o- j
通訊系統/晶片系統
) H7 j" \/ I3 ?# T4 K  e
PACDSP MP3 解碼器
7 j4 |$ B2 [9 L7 a$ q2 x( F" j; j0 A' @, yPACDSP MP3 Decoder9 l: ~! A. c& m
楊仁魁
- `2 c1 e: {' R  o; ?
通訊系統/晶片系統- ]: g5 C( g+ T' I! L
針對多媒體應用之高效能平台式直接記憶體存取控制器
) ^& i% N& Z; T+ g; |* w$ W3 aAn Efficient Platform-Based DMA Controller for Multimedia Application
0 _$ e! Y, g; ^, k4 t( h# }+ ^$ U: ]/ n
余家豪.劉仲凱.康智恆.王尊賢.沈志堅.曾紹崟+ g5 U" _3 g: V1 A& b  ~' B7 f
通訊系統
, j/ I$ d  h  b. M
行動式 WiMAX 認證標準的制定現況與動態
6 Q4 Q' @* k, y7 i5 n1 n( rAn Overview of the Mobile WiMAX Certification Status
3 p% R% L* `3 ?: E. g0 O& F* ?0 C
江守平.甘傑英
# j! I7 K' u& b2 m3 O
通訊系統
+ G0 g  o! I  a+ \0 o
Mobile WIMAX省電模式簡介' D1 _* x9 @8 T: J
An Introduction to Mobile WiMAX Power Saving Mode

8 R  d/ E3 t$ H# M% ~
顏鴻傑2 d1 z6 @% s: O/ [) U8 L
通訊系統
9 M! f- z% {; I6 a
空間多工無線傳輸之低複雜度偵測器
6 ]2 t; s: J4 P1 k* k7 ?/ P8 `JQRPSD Detection with Low Complexity for SDM Wireless Communication
7 C9 G! _: c0 @' x$ f
林心蕾% V) r  Z# ^- A) g
通訊系統/晶片系統- y& }) E, }, j/ ?
應用於WiMAX發射機之具有直流偏移補償基頻濾波器& D7 t3 u' E  B3 E) b' R: ^1 Z% O
A Baseband Filter with DC Offset Compensation for WiMAX Transmitter Applications
2 ^  F0 Z8 e0 H+ V) @' p
郭信宏$ N; f; {+ G7 q3 w: Y
通訊系統/晶片系統
% H# \  X5 C0 ], P/ d, L
超低功率無線積體電路設計考量- ?  I9 @! f  c
Ultra Low Power Wireless Integrated Circuit Design Considerations

3 s( Q0 |  z0 E) `; {, c$ P" F
陳威憲.莊凱翔
9 P0 P' k0 B& f3 n
通訊系統" h+ O. k, H4 f8 g5 t% G, h
電容感測前置放大電路之設計8 U- `0 w+ H1 n
The design of capacitive sensing preamplifier

: W5 I3 y/ {$ v1 h( ?
鍾啟晨.夏廷魁
4 E* h6 M! o2 B, T* y
晶片系統5 h' s, v7 I! D% I' a: ~% Y4 E$ j
實現在90奈米製程之低電壓數位類比轉換器9 V" ?9 g! U4 X2 j
A 1.2V 10-Bit 200MS/s Current-Steering D/A Converter in 90-nm CMOS
1 T! n9 `  g$ f2 n
游爵豪
* ?4 [7 @! ^) U5 v* o& w' W: n( j
晶片系統# M& J/ }; v" @0 ]
90奈米多功能可控制輸出入單元設計簡介% m* L1 m1 [" U
Design on Configurable I/O Cell in 90nm CMOS Technology

; V0 f" z0 w% g4 ]  u  b" K+ R$ o
梁詠智.黃清吉.胡芳綾,陳佳惠) w. [6 B  X$ G( @
7#
發表於 2008-9-15 18:22:37 | 只看該作者
晶片系統
& l5 p6 }) t+ r7 V8 s
應用超低電壓動態浮點輸入暫存器於高速除4/5雙模組除頻器電路# K* ~& V5 M( b: _
A New Dynamic Floating Input D Flip-Flop (DFIDFF) for High Speed and Ultra Low Voltage Divided-by 4/5 Prescaler
/ I4 U$ V2 N2 t" A" @
趙廷昇.張仲宇.卓峰信/ H  E0 k( x' z0 w. y- U
晶片系統
0 d# ]3 m8 o' _; \! K& c
暫存器峰值電流之改善方法A Method for Reducing Peak Current of Registers
/ l3 _8 k$ r  I
聶佑庭7 p0 {7 Z6 _/ A
晶片系統: ^. ^8 r1 K0 I8 m1 Q
使用電子系統層級設計方法開發雙核心系統晶片平台4 S3 B2 {$ s, _
Applying ESL in A Dual-Core SoC Platform Designing

' ~( r' e" R8 ?/ d1 Y6 A) u
蘇培陞.陳紀綱.林士哲9 C: W+ S6 a2 \" y- Q
007
通訊系統/市場分析
7 w* `! O4 j- N9 x/ o- v) \& ?
行動式WiMAX市場展望
) I. P* G9 S% \( jMarket Perspective of Mobile WiMAX

. F0 }: }. R& _: q
康志堅
9 z' K0 @1 y, [/ `
通訊系統通訊系統/晶片系統; i( E) c: R) D! ~" T
AAC Decoder在PAC DSP上的實作
9 }- z& E6 \6 ]# G6 T. ZAAC Decoder Implementation on PAC DSP
! k% E6 |, C5 ^3 D6 G) t: q
劉俊男.洪瑞鴻.蔡宗漢! T4 V7 m% }) L2 Y# `
通訊系統通訊系統/晶片系統
$ `" T! G0 }" L3 \
JPEG 解壓縮在PAC DSP上的實作7 I* l) ~; ^8 \; _0 D
JPEG decoder Implementation on PAC DSP
- M; n* h" h* L% e$ h: M4 K( Y- \
曾紹崟.范益瑄4 n3 X# P8 N: K0 [1 L7 H+ l
通訊系統通訊系統/晶片系統. y7 q/ {2 _( l5 w+ l0 ^0 Y' ?
網路電視應用在PAC SOC平台上資料流程與流量的分析+ h! H, X  d9 u7 f
Data Flow and Analysis on PAC SOC Platform for IPTV Application

9 Y' N1 @6 `0 ?4 i
陳澤民.楊仁魁.曾紹崟
- }* T$ I$ Q" @  p
通訊系統
, F* _& X: b$ E
WiMAX媒體接取層自動重送要求機制簡介; b; e& |5 u* Z0 D2 |
An Introduction to WiMAX MAC ARQ Mechanism
- K! g; z( {: F/ ]( i6 J5 b
游文章
) M! ]4 ~1 P/ Z8 ~( Y$ k
通訊系統/ X) }& c0 ?8 S& F. t. B
IEEE 802.16e硬式換手機制之簡介- \% G) y8 j) y  |% d
Introduction to Hard Handover Mechanism in IEEE 802.16e
& c. r: P# y! [3 m
陳富城, o% T2 Z6 u' l
通訊系統. z" z9 l4 J2 f" ^- r& S
WiMAX系統下之多重模式通道估測及追蹤4 n2 z, G$ V& t( U4 b
Multimode Channel Estimation and Tracking in WiMAX System$ U8 C8 X9 u* x1 e
謝雨滔.丁邦安.陳治宇8 _6 x  n% [4 b, U+ a; v: w+ E
通訊系統/晶片系統
( E! Q* j/ M5 v; ?$ m1 u3 j
應用於WiMAX系統之高效能可規劃FEC架構設計8 I3 [0 ^1 o; x
High-Performance Reconfigurable FEC Architectures for WiMAX
  ^! d- R) T! W$ X2 h: e" y% k/ E5 b
朱峻源.林承鴻5 M% L6 @9 O/ A* C1 b( J
通訊系統/晶片系統& }, g: `' X4 T5 |4 k
WiMAX RF Front-end Transmitter介紹
  n! [- M# R, B' J# rAn Introduction for WiMAX RF Front-end Transmitter

8 Y- f3 N2 V3 U1 z, }9 {3 W# z
李仰涵
4 y) U/ r4 g) A0 }
通訊系統/晶片系統
2 @9 Q% |/ E: {( p* m
A 1.2V, 10bits, 100MSPS低功率管線式類比數位轉換器for MIMO WiMAX3 Z3 X) r# \( @. w
A 1.2V 10bits 100MS/s Low Power Pipelined ADC for MIMO WiMAX
  f, f; [0 ~' X5 E1 |
陳玟蕙4 B7 R) w% O$ i$ X7 n% S4 p- k
晶片系統% U8 Q( P4 f- J% U& m
管線式類比數位轉換器功率最佳化模型! r4 B& _8 e# K0 }
Power Optimization Model for Pipelined Analog-to-Digital Converter
1 T5 j; X7 R/ _( Y, G& S
陳以勛$ q$ Z6 _6 e/ u
晶片系統6 Q# a8 l4 N0 w  l" C/ D
超低電壓電路分析與設計
4 m0 ^  ?; i3 Z6 d2 I% EAnalysis and Design of Ultra Low VDD Circuit

9 G( e: _% ?9 K$ f* c9 T; G
趙廷昇.張仲宇.羅有龍
8 L+ u1 `4 D# [5 K0 T2 B
通訊系統
- [+ A$ q0 K7 C
高效能通訊系統之位元錯誤率測試策略
0 {7 u6 e9 T. a& M* |BER Test Strategies for High Performance Communication Systems

9 Z9 L0 r7 O6 f
李瑜.鄭乃禎.陳繼展; _: `5 `* e* R5 C  F2 J
通訊系統
( K3 `5 `2 f$ H
異質網路間的無縫式多媒體傳輸5 `9 s) T$ X! h! O7 E) y
Seamless Multimedia Communication Between WiMAX/Wi-Fi Heterogeneous Network; r5 c; T( B9 {- w$ r
黃永順.程永華.蔡宗霖! w' O% h- v. u$ z7 o9 p
8#
發表於 2008-9-15 18:24:35 | 只看該作者
008
通訊系統/市場分析" S  t7 p0 ^) b* l
數位行動電視市場分析' g) w# Y+ l" ^- |& ^) n/ X& ?
Market Analysis of Digital Mobile Television

# W& k5 `5 {7 U
李桂華$ [6 Z6 Z5 F, E: y. v: ?! f
晶片系統/晶片系統
, G3 F% c; Y8 U* C6 L+ z
工研院PAC計畫-由「超長指令數位訊號處理器」至「多核心計算平台」3 v5 j( v, J9 H; }
Overview of ITRI PAC Project – from VLIW DSP Processor to Multicore Computing Platform
4 i1 |& b% b7 p9 r
謝天威.林泰吉.劉俊男曾紹崟.紀坤明.朱元華- x) S0 g' u% b$ U" l, o# ?" R
通訊系統/晶片系統
; y' {% l( w  L% D# s
在雙核心平台上以畫面為單元的可調式電壓頻率的H.264解壓器
% z4 H" d6 s) LFrame-based dynamic voltage and frequency scaling for a H.264 decoder on PAC Platform9 V( W. g5 O5 Q6 F
曾紹崟.張明偉5 o# S; G& L) a! o1 S2 U3 ?
通訊系統! q2 H3 g2 d  m7 T! ~6 K
PAC Duo SoC系統網路頻寬分析
) Q4 Q/ ~! x" W' iSystem Interconnect Bandwidth Analysis of PAC Duo SoC
, ^" t8 A; a- H2 r. b; a9 ^6 I, m
紀坤明.李國丞.黃保瑞林周坤% f7 h3 ^; W6 n6 v/ z

# x5 V  J/ [" ~) e
通訊系統/晶片系統) z1 R$ [. j/ y1 M
IEEE 802.11n基頻訊號處理技術
: w2 T/ W+ n7 P( a. |  HIEEE 802.11n baseband signal processing techniques9 B- }6 X  s/ J
陳治宇.石韻宜.王志凱丁邦安
. Q. y5 j2 c8 G; b" x) ?: D
通訊系統/晶片系統
. l$ s; s) A- ~/ ^
應用於WiMAX接收器球型解碼之基於CORDIC架構QR分解電路" x! q4 ~9 p6 D& u9 W
CORDIC-based QRD for the Sphere Decoder in an MIMO WiMAX Receiver
4 v' B; f9 r. i2 ]3 }5 [
陳楨明.陳逢期- H5 ?. m% H% x+ H1 d3 u6 ^$ U
通訊系統
. ^" J0 q$ z% x5 Q4 F0 g
利用QoS參數之分類排序以建立WiMAX省電類別之方法+ P  j6 X. K8 @$ ]& K! C
A Sorting Method for Power Saving Class Creation Considering QoS Parameters in WiMAX
0 v8 m6 H( f& f8 L/ X
康 諾.顏鴻傑.邱玉敏
* N6 }2 _% j0 K  E7 w% _" o5 e' P# P
通訊系統/晶片系統6 n: t+ ]$ [* f' Q5 E7 g
射頻直轉式架構I/Q升頻調變器載波洩漏校正電路介紹' z9 O: K% i2 D: K( |" |1 C
An Introduction for RF Direct-Conversion I/Q Modulator Carrier Leakage Calibration Method
  S  c, Z+ ]; n( }4 D
許漢州& l- P1 O' P4 i
通訊系統/晶片系統
- Z# e  y6 N" i: f) ]8 x
應用於超寬頻數位類比轉換器
% {3 P* ^' q+ g3 Y0 RA 1V 6-Bit 2GS/s Current-Steering D/A Converter for MB-OFDM UWB Transceivers* h# _/ L$ `6 n: s$ I! A
林書民.謝青玹) E2 B, o( p9 S, F: [+ ^% \& W
晶片系統+ f- r. N7 M; a9 ~
應用於超寬頻接收器的低功率,6位元, 1.2-GS/s之雙通道快閃式類比數位轉換器
+ f! {, s/ _& U6 l* j. JA Low-Power, 6-Bit, 1.2-GS/s Dual Channel Flash ADC for UWB Receivers ! m  [5 f: V' O) ?" M4 g2 `
陳博瑋.鮮思康
7 r2 y4 f5 |1 F
晶片系統% s" O  ^$ Q. d$ ~2 E
超低電壓300MHz 8X8管線式乘法器之設計3 n2 `/ }' t0 J# n2 ~. [
300MHz 8X8 Pipelined Multiplier Design with Ultra-Low Voltage
7 c& ^1 `# H  E7 U4 e$ M
梁詠智.黃清吉5 J  e( e/ c( C. z* t; r7 g4 B# ]8 S
晶片系統
- N! R  B/ ?% H8 i5 u
具有寬頻操作與高解析度之內建式抖動量測試技術
8 }1 L7 H1 D( f- F, i; g! z, NA Wide Range and High Resolution BIST Technique for Jitter Measurement / _# P; b, G0 e/ \. F! b. P
李 瑜.鄭乃禎.陳繼展
& L1 q+ k$ X: }0 P) Y+ A: W4 D
晶片系統
6 z& Z' k) U7 f# A" A* n
考量雜訊電源供應網路設計之快速佈局規劃
1 w, F6 H- I8 E' d) oNoise-Aware Floorplanning for Fast Power Supply Network Design
1 ^. I  K% [% g0 s: D
林昌賜3 ], v' Q8 M4 e& \' ?
9#
發表於 2008-10-20 14:29:54 | 只看該作者

新竹科學園區管理局補助SoC設計課程

新竹科學園區管理局為協助半導體(SoC設計)從業,或研究人員提昇人力素質及專業技術能力。特補助經費委託交通大學電子系人才培訓中心,於2008年第4季推出師資優良、學費最划算訓練課程。# ?( O, g+ a% m2 x' y

" \* D. N9 K8 Z2 N; j本梯次自2008年10月25日起,陸續推出「積體電路之靜電放電防護設計」、「OFDM基頻傳收機之設計(WiMAX基頻)」、「Overview of SRAM Design in Nanoscale CMOS」、「多頻帶射頻前端電路之設計」、「嵌入式記憶體電路設計與高良率實務」、「WiMAX系統設計(含MAC設計)」等課程。, G1 ]" D, q2 K2 P( V  K! a$ ]* `: @
) U0 r+ x% d# |8 X; e* ?
每堂皆是關鍵技術課程,舉凡靜電放電防護,以至最新WiMAX技術,都值得來深入探討。師資包含交通大學資深教授,及擁有業界多年資深工作經驗者,詳情洽交通大學電子系人才培訓中心。
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-16 09:28 PM , Processed in 0.168522 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表