Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
樓主: chip123
打印 上一主題 下一主題

[經驗交流] ASIC設計工程師如何保住飯碗?

  [複製鏈接]
21#
發表於 2013-5-15 15:42:37 | 只看該作者
Senior ASIC engineer# S8 k6 A! ~: L
客户 a start up company with innovative technology
. \, l8 U: V: q0 |地点 Shanghai
) w# Y7 F" a; N4 [, i% \  m
0 y& l! t* o  K! P8 P- m; T# q职位要求7 F$ R# [+ d3 C0 l* M( j3 z
5 + years experience in ASIC design -> must ! h  P: D& h# W
· MS in Electrical Engineering (or equivalent) is a must have
) C6 Y: d  X- ?· Experience with WIFI baseband/MAC or related wireless baseband technology desired -> plus. ~2 ~# P$ p9 O; [( V2 K# ]7 ^) [0 f% I
· System on Chip (SOC) Integration Experience, including AHB/AXI, CPU integration -> plus
; U7 H* ?+ c. P# @· Experience with interfaces such as SPI, SDIO, USB -> plus
/ P3 a! a+ M1 a. P9 M· Working knowledge of networking protocols such as TCP/IP, 802.3, 802.11 -> plus
& m* C( M2 P. R9 o· Must be expert in Verilog RTL language -> must( c0 P& l: _7 A6 B' c  E. c* @1 i) U
· Must be familiar with the ASIC design flow from RTL through synthesis, including the tool flow. -> must
  D# }1 s, a$ c- n" N. G· Verification experience – Verilog, System-Verilog, Coverage Analysis -> must for verification engineer, plus for design engineer- D7 u  [& Q' n5 _2 U. f
· FPGA emulation experience -> plus. ]3 S+ r  u5 w' w+ v. D) ]
· Chip bring-up experience, including use of Logic Analyzer and Oscilloscope for debugging -> plus
. b' p$ \! F, u, y· Experience with digital backend
回復

使用道具 舉報

22#
發表於 2013-5-15 15:43:07 | 只看該作者
资深数字设计工程师- a7 L7 A! R" L0 H- g3 `) q$ Q
客户 A start
! W  |; K8 d1 o& r  `% V9 y' G5 ~地点 Shanghai3 l5 d: O! _  [  Z
; @$ W2 \; t9 \) E
职责:! J: R$ T4 ]( U
参与从产品定义到量产的整个流程。9 l: E; a4 j! T- L8 {6 f+ s# J! z
参与芯片架构定义。
- o/ p/ R* S& h# n/ U. |. ?1 [ASIC设计,RTL编程和RTL仿真。4 ]1 Y( S9 g1 d
综合和FPGA评估。
: j# Y6 z/ m8 v2 C与应用测试工程师合作,提出最优解决方案。
" f" ~. ?4 q+ G  ?6 Z) e- W) y9 s
职位要求:. L4 V" x$ {6 G: i; t
电子工程相关专业硕士毕业。, s5 G9 G* C  I' k
3年至5年以上RTL设计经验。
! M+ C- J, K% R( i. A. k有音视频领域经验优先。
0 T# `) L+ f* O  K7 Z; l7 B7 f良好团队精神,学习能力强,敢于接受挑战。
1 i! K$ x2 U2 Q6 Y必须具备RTL编程、仿真经验。
2 p* N, `7 o: }熟悉FPGA验证优先。
回復

使用道具 舉報

23#
發表於 2013-5-24 13:40:44 | 只看該作者
资深数字设计工程师: n3 Z" e) i: b
& X, p: Q2 B, J. j
公      司:NO.233-A start-up company
$ k( B' V8 h/ {, U) s工作地点:上海
# S9 p, }* b% V" ?% M% g( [2 A
0 I* k- `# S9 m. s职责:1 _$ Z/ q1 |8 R3 T6 M9 w* w  X7 Q
参与从产品定义到量产的整个流程。7 A8 Z4 l4 `. k" L9 i2 b
参与芯片架构定义。5 q7 ]% ]) M4 _- f1 T6 O0 \
ASIC设计,RTL编程和RTL仿真。
! c8 @9 f  @! [: q综合和FPGA评估。
, |, p7 m. Z2 M9 [" M4 R( F与应用测试工程师合作,提出最优解决方案。/ A0 I2 i: j# W4 W; X
9 N; c0 M4 O. T8 x2 Z
要求:1 r. L4 w* f8 {% `- ?2 O1 z
电子工程相关专业硕士毕业。6 T. k7 h. I5 ?: ?
3年至5年以上RTL设计经验。
" g9 k; p( p9 ^$ E有音视频领域经验优先。
# k6 b% @5 F4 c* j. J良好团队精神,学习能力强,敢于接受挑战。9 V( W8 ~' a! N# v
必须具备RTL编程、仿真经验。, r" p) p1 e  ~
熟悉FPGA验证优先。
回復

使用道具 舉報

24#
發表於 2013-6-17 17:40:52 | 只看該作者
安森美半導體與空中巴士完成合作開發用於A350 XWB 飛行控制電腦的複雜ASIC ' O  W/ d0 t6 S' a7 M: p. E1 {7 C
安森美半導體的110 nm製程技術平台達致開發關鍵航空應用的高度可靠方案
) F% T) C6 _! p# {9 j- b$ J
7 {! @7 F* _! l: K1 h* q4 v! g2013年6月17日 – 推動高能效創新的安森美半導體(ON Semiconductor,美國納斯達克上市代號:ONNN)與領先的飛機製造商空中巴士(簡稱“空巴”)完成合作開發及投入生產一款複雜的專用整合電路(ASIC),應用於空巴A350 XWB寬體飛機的飛行控制電腦。這定制硅方案的代號為JEKYLL,使用了安森美半導體內部的110奈米(nm)製程技術,在安森美半導體美國奧勒岡州的Gresham工廠製造。JEKYLL項目的完成,反映了雙方從可行性評估到第一次即對原型到按期為A350 XWB量產的成功合作。1 T6 _+ v- x7 d
. n' q. l; }% w  h# P. n0 x
此ASIC的設計符合D0-254航空要求,並滿足空巴嚴格的可靠性及產品長壽的需求,為空巴A350 XWB飛機的飛行控制主電腦提供優化的性能。安森美半導體被選中參與這個項目的原因有多種,包括公司在複雜ASIC開發方面的專業知識和技術、著力於軍事及航空應用、一流的品質水準、毫無疑問的長期產品支援,以及對D0-254要求的深入瞭解。
/ w1 O/ n) b9 {3 B) c0 P5 l5 [) ^# L; _, O0 {5 [
安森美半導體軍事/航空、數字、晶圓製造、整合式被動元件(IPD)及成像感測器產品分部副總裁Vince Hopkin說:「能成功開發這複雜的ASIC是空巴與安森美半導體通力及詳細的合作成果,彰顯我們致力於服務講究高可靠性的航空市場。我們公司內部的110 nm技術極適合於此要求高性能的應用,是我們從40 nm到0.35 µm之強固技術方案組合的一部分。」) m. W) c( n: o  e+ G, K/ a7 Q

6 m6 R8 d9 L6 ?* T4 W) t歡迎蒞臨安森美半導體於2013年6月17日至23日在2013巴黎航展的展台(2B館H58展位)。更多有關此航展的訊息,請參考:http://www.paris-air-show.com/
回復

使用道具 舉報

25#
發表於 2013-7-2 10:04:51 | 只看該作者
Staff Digital Design Engineer5 L7 N; Q3 D  P% c# X& I5 w, ?
公      司:NO.82-A famous IC company. i7 u- e8 [( U7 w" |
工作地点:上海
: p  }% s. z; X+ G+ u% a0 P/ v9 t! n) w6 B
职位描述
5 C& g9 P* h% h( s5 E: L* RA worldwide leader in the design and manufacture of microcontrollers, capacitive touch solutions, advanced logic, mixed-signal, nonvolatile memory and radio frequency (RF) components. Leveraging one of the industry''s broadest intellectual property (IP) technology portfolios, be able to provide the electronics industry with complete system solutions focused on industrial, consumer, security, communications, computing and automotive markets. As a global company with 5,100 employees worldwide, she operates in more than 40 countries and has 30 design centers, including locations in Shanghai and Taipei. Her solutions enable their customers to lead the markets they serve by creating products that are more powerful, smarter, energy efficient, lower cost, and more versatile than ever before.
% F6 v. x3 K% Z; v
* J- X. [( m# C/ pResponsibilities $ A8 B3 ~% Q8 R) R/ N- P' l* V; l( D
• Develop ARM-based MCU/SOC products
% {6 T( h5 b$ |7 E职位要求
9 l6 c( \3 ?$ C: @1 ^2 i0 eMandatory Skills
5 i; N* m2 Y4 I1 z" P9 v; @$ A7 D4 D        Very good Verilog coding and simulation capability.
0 R6 T1 e: o* r4 ^+ L Familiar with ASIC design flow, including related tool experience and skill, such as DC , conformal , formality , Tmax or PT.8 D% h* O) n+ q5 j7 {+ _3 r# g
        Fluency in English and good in communication skill. # h2 a% K& D& Y( r% Y% ?8 B3 o
Preferred Skills
# ?% P% \7 y7 ~* g# W. d9 w. G        ARM-Based MCU or DSP related experience and knowledge is highly preferred. 4 ?) @0 I! |% i3 e# e
        Understanding of embedded firmware and programming is a plus. + @! i0 x$ j" U% V) F
        Unix/Linux shell/script programming.
8 A! o& b: ~$ V% j$ G4 M0 s6 }, `0 ^Education * I  q6 [, L( S" y) T% Q
Master Degree of EE or related.
7 M! J9 r( C* Q% E5 u+ y8 tExperience
" v$ y- v5 Q. z; @: C/ w  z        8+ years of design experience.
8 M  `/ m9 j; f2 k2 T! S& f        At least two years of US or Europe-based company experience. ! k; P9 L2 A6 s8 p5 T7 ?* `
Position: Regular ; v! Q/ h" G8 ?
Shiftay
4 c% M; w) i! uLocation: China
回復

使用道具 舉報

26#
發表於 2013-7-5 10:03:12 | 只看該作者
ASIC Design Manager
' _& V, q8 ~2 U( Z公      司:a provider of highly integrated semiconductor company
7 A/ y6 Z( s% t0 B% p! B. G工作地点:北京# M8 T- W5 U$ Z! A7 l! k

) T8 b5 S+ }' T2 d' Z0 N# o  xDescription:  
$ O2 X! y+ L1 Z, u. R0 L& kRoles & Responsibilities: & x# h3 H' B: _+ G7 H6 }; w
In charge of logic design from spec to tape out and bring up  
7 i, P: n4 M8 s" U! [7 gMicro architecture and implementation  
$ U9 B, x) o3 s5 i; o9 B  `& _Working on or lead logic design, simulation, validation  
3 [8 C* O8 @2 w7 J( PCoordinate analog design, bring up and thouble shooting
( \! o! m( a* ]1 o* z$ b7 P
# u/ Y0 C' L+ ORequirements:
7 m( g1 V) Y  P4 p- a  `6 E+ E5+ experience on IC design  
/ r0 M$ K8 _+ B( yDeep knowledge and skill on ASIC design flow including RTL coding, simulation,  synthesis, timing closure, power estimation, formal validation
- V# X% f9 _9 `/ jDeep knowledge on digital baseband or SoC design   
  D4 b" j3 h8 m, fMaster degree or higher.  / k, c8 f5 w1 j3 ~, l' T
It will be plus having experience to lead and deliver ASIC project
回復

使用道具 舉報

27#
發表於 2013-7-23 14:16:14 | 只看該作者
数字工程师$ u$ m6 s( C' v) i4 c0 E7 w4 e

. ~$ d- ~. M8 S) K公      司:IC设计公司" |0 {* _/ Y; y/ c! R0 g
工作地点:深圳
' i# Q6 ~& F0 U7 u2 O. x- {! c4 |
职位要求
# ~" L4 ^. P. p; |* S6 q0 X1、 熟悉数字电路设计流程方法及工具;
6 @+ y1 Y2 f) M& k) z$ n2、 精通LCD数字电路设计;5 V( S. `9 i5 V" u; d* P5 X5 {
3、 具有两年以上MIPI设计经验。
回復

使用道具 舉報

28#
發表於 2013-10-16 14:21:03 | 只看該作者
资深变频空调方案研发工程师
0 ~+ D1 d$ u4 V) l1 Y
8 h4 s' h; |4 ~. d2 b' |. N( b公      司:A famous IC design company in shanghai+ t3 n! c: t- u. ^4 a4 }% {# \
工作地点:上海
) n* t# l/ I. G( i: L. C" l1 o. e5 t9 Y4 Z% v$ H  ~
职位描述
! c; d9 K# D! Y0 Y& u從事變頻空調控制軟件的研發。
- R- \) i" y. q9 x* C1 ]% C- ^4 A
$ R8 `4 g- b% K& W职位要求, ~5 p7 c# P6 ^3 D& U/ Z3 W
有變頻空調控制軟件的研發經驗,熟悉變頻空調控制算法及相關技術
回復

使用道具 舉報

29#
發表於 2013-10-30 14:15:34 | 只看該作者
ASIC Design Engineer( z2 D& t  e% b& Q0 \. Q
+ `5 C0 e9 G7 D  v* v$ e
公      司:A famous IC company( w2 x7 v$ y$ B
工作地点:上海/ y0 \( u! F$ J1 \# o/ [- Z% E
& K* u1 [2 c- o4 ^
The Role:
9 N/ x' e; g2 ^% J* P+ k·         ASIC design  
. @* x+ f# P2 b" y·         Work closely with the California teams 1 m! E2 u; a7 Y6 H
·         Support chip tape out and bring up . Z+ r4 C8 w9 G
) Q# w4 q- Y2 g2 G
Requirements:
5 y9 d$ a6 c9 }, r+ B9 r·         3+ years experience in ASIC design
" g% x# K' a4 ~  s% S" D: q4 R·         BS in Electrical Engineering (or equivalent) is a must have, MSEE is desired
3 v# [4 j; y$ f; v' y& V·         System on Chip (SOC) Integration Experience, including AHB/AXI, CPU, Interface integration
$ O) g# @$ X8 M$ G! i9 d2 ]·         Experience with WIFI or related wireless technology (i.e. WIMAX, 3G, LTE, etc.) is a plus
* N. K6 q+ f7 S2 N5 {" c·         Experience with interfaces such as PCIe, Ethernet, DDR, USB + R9 b: G$ |/ F, L4 l  l
·         Working knowledge of networking protocols such as TCP/IP, 802.3, 802.11 2 w. [. _3 E; i" O
·         Working knowledge of C programming language
8 P* y& o: {2 r3 ?5 }& ]/ d·         Experience with Medium Access protocols a plus 0 B. N' T7 p, ~1 u0 E" ~
·         Must be expert in Verilog RTL language , ~0 O; L/ o3 i2 c. t& A
·         Must be familiar with the ASIC design flow from RTL through synthesis, including the tool flow. 8 r; t% m# @/ L
·         Verification experience – Verilog, System-Verilog, Coverage Analysis $ k1 P) m3 i, I6 e$ g
·         FPGA emulation experience ) X( v7 d4 I! y0 o/ _8 L
·         Chip bring-up experience, including use of Logic Analyzer and Oscilloscope for debugging
回復

使用道具 舉報

30#
發表於 2013-10-31 13:53:46 | 只看該作者
IC PM
& a& w. G8 a1 u5 c& c; {
. [3 B- }2 U; W$ \公      司:A famous European IC company
" b4 E* @: G9 ^8 J% j& `工作地点:上海5 n/ j9 N9 s' M) k" z! L$ [
0 g2 H( o5 L- l. y: o9 _! r+ s
Roles and Responsibilities   ) Q  Q% \  V& j8 V) s5 f! O
1. Manage ASIC design project according to product development process   
7 O) j1 X1 X: t# W  h     - Coordinate the different resources to deliver the ASIC product in time and with good quality  $ i+ y( T1 W1 l. |1 o7 J
     - Responsible for the communication of the whole project team  
7 h' I% D) `: J     - Participates and drives internal review of each development phase and make proper justification  
( A7 @  x8 x  h! f5 i. G     - Develop and manage project schedule, resource, communication and critical path  % u% q* Y7 R% \7 O( C7 u
     - Identify risk and develop mitigation plan with the project team  1 Q0 f8 W( w5 b; ^
2. Closely work with IC manufacturing and testing / qualification to drive the ASIC products into mass production in time and with good quality
; G5 n$ d2 {) E  {& u/ ?1 C8 }8 J3. Work with the financing and control the project budget4 `$ T- i* Y! p! w

6 D, m9 L6 Q% J4 Q% x  J6 M( [Qualification Requirement   - O# Y# n6 Z- E0 b
- Master degree or above in Device Physics, Electrical/Electronics Engineering or equivalent  * D* V' Y" ?" r4 Y1 H
- 4+ years experience in the semiconductor industry in relevant R&D departments.  6 a* j) o8 n2 ^- a
- Preferred to have at least 1 successful tape-out experience as project manager  
+ ?- Y$ x' L2 R9 x: h" R/ m- Knowledge of ASIC Design from front-end to back-end (Analog design, RTL, Synthesis, STA, floor-plan, P&R, package, testing, etc.)  ) h" ?" s: G( f7 z7 ]" g, C
- Basic leadership of team for allocation of tasks    : R. H) d* ~- N; [
- Management experience with subcontractors  
$ ]  K  x4 t& {; G( e3 |- Good English, excellent communication skills and team spirit oriented  . u' F' U  o% U
- Self motivated, strong communication and interpersonal skills
回復

使用道具 舉報

31#
發表於 2013-11-19 09:00:29 | 只看該作者
ASIC工程师3 N0 _' J3 L+ x' m6 f  q) }
公      司:High-technical IC supplie with commercial FPGA intellectual property: k5 s8 u7 Z$ B* E4 H% e. J& x
工作地点:北京
0 L  i' f8 L' P" [; b8 j# G9 o- |7 I9 s
职位描述; a1 J0 A2 z" F% ^4 H2 I, p% L( \
1.微电子相关专业硕士学历, 3+年ASIC前端工作经验(不含在校、实习);  
2 ~# l  ~7 ^, p9 ^. \  [7 i5 U2.熟悉并参与过ARM或MIPS等常用SOC架构的设计、应用,对SOC架构及常用外设的工作原理有深入理解。
. J. A/ y, T6 q# U( q! e: t3.精通verilog语言,能够独立完成verilog module design,拥有良好编程习惯codingstyle。
+ ]4 g( U+ @+ {3 V2 L* l1 X4.能够独立完成单元级仿真,在系统仿真中承担部分工作。
" @& N. }* _& f! `5.至少1次成功流片经验。
( P+ N( X  q; X1 L5 F1 p6.对synthesis、sta、dft等有一定了解。 ; S  v5 X  M- q4 R4 ?7 y& N- Y
7.良好的团队合作精神   ^# Y% E$ i. z

7 O  M- F# T8 C8 w6 [: L职位要求
/ C5 o0 X6 ~; ^+ V4 _全部或部分满足以下条件者优先考虑: 7 j& J& R( p' |0 @7 O" c1 T
1.有在大型asic公司工作经验,深入理解其企业文化。 , V6 m+ ^( G, m
2.熟悉验证方法学;熟练使用SystemVerilog等专用语言进行验证平台的搭建和维护。对Testcase规划、覆盖率分析、门级仿真、ATE testpattern产生等有实践经验和深入理解。
0 ~$ ~! V: R! q, a$ [3. 丰富的fpga emulation经验,能熟练进行板级debug,编写调试简单driver。   g3 F5 a0 x7 {% g6 }* K
4.对芯片系统架构有一定理解,能进行子系统级别的独立规划设计。对以下知识中的至少2种有实际经验: ( x- P3 [) y& u" E: K) T2 h% C
ARM/MIPS/8051 CPU及其架构,
& `% b( n: M; Y# N  w3 }- @& gAMBA(AXI/AHB/APB) 总线、OCP,  
( o7 m* e) L7 c& `) [USB(3.0/2.0/1.1,  
6 H. F8 }! D2 s( w2 {0 t- ^NAND/Nor Flash/S-flash controller 1 t4 M2 [( q0 Q+ p( Z8 j9 U
DDR(2.0/3.0)controller/PHY
- Q3 l. D# K1 S( {% tlow power design,  & p! k# d- x0 V) q* b, o# Q
chip level clock/reset generation and control,  
7 C# t3 F, V- w1 \SD card controller, SATA,sim card  3 l% G4 g- W& G: ~1 q
soc基本外设 (SPI/ GPIO/timer/WDT/I2S(SSI)/I2C/UART), " B% r; q0 A, Y4 R
Ethernet,  . p7 O6 m% D9 a% D
JTAG, etc.
回復

使用道具 舉報

32#
發表於 2013-11-26 09:32:17 | 只看該作者
ASIC Digital Verification Engineer
. K  Z7 c4 f0 ]0 j+ C& l  I1 l) s2 J2 t- G. {3 `/ D+ ^
公      司:A mobile chipset semiconductor company
. u7 ^8 K4 J/ p7 j" v工作地点:上海
5 Q& R4 p4 |! s# ?1 G  l; W4 L5 W6 f- B4 y0 s1 c9 j, e9 `
Responsibilities:  
# z: _  u& @+ C0 I$ P( J& z9 l$ j  Make verification plan for one module or whole chip.  
1 n* [; _. P' W; W7 a  Build up and maintain module-level and chip-level verification environment  , i, @$ W$ d. ?" o' X! b
  Verify ASIC digital design based on case list, and output verification report.  
! a  K% X, V' J1 q: V. E  Also responsible for lint checking and formal verification.  
: j& l+ m+ s' v% i, ?. W. O: ^
* @. Y* V: C) c# q- Z% bQualifications:  
/ u4 z1 X8 Q+ p+ Y3 s# n2 z  Proficiency in logic verification.  , _, x3 m$ D/ t
  Experience with Verilog logic design language.  
% T! ]- k8 ~1 t2 }  Experience with high-level verification languages such as System Verilog, System C, Vera or Specman e language.  
! |0 c! T3 R) V; N3 h! J  Experience with UNIX/Linux simulation tools such as IUS or VCS.  2 ]0 u& u' h7 d" j7 K& l8 z
  Experience with C and C++ is a plus.  
$ \: K4 G$ w9 ]& W; I# T  Experience with C_SHELL, TCL or PERL is a plus.  
4 K( D$ H( P5 {' R6 ^  Experience with UVM, OVM or VMM is a plus.  8 b0 e: m4 d- c: N* R) k. G3 P
  Good knowledge of SOC design is a plus.  ( H, K! R" `  q" N5 a
  Good knowledge of software design is a plus.  
/ ?4 S4 a, N( T  Self-motivated and good team player.  
6 O# o5 X% f# f+ X: j+ [) \  MSEE or BSEE with 2+ years.
回復

使用道具 舉報

33#
發表於 2013-11-28 09:28:03 | 只看該作者
数字芯片设计工程师(DFT/综合)
4 \! g- e: H. E
0 S( ]; b9 |& m4 D1 \# f# o- n! |公      司:A mobile chipset semiconductor company
, ?, G) M+ G( v) y7 ^工作地点:上海; e  u, B! }9 [, }3 u+ Q+ g( K
% P. A9 K0 A) i9 T( o6 X
职位描述:
6 f* k* ^. s' U7 J0 ]1、To provide and support SYN&DFT work for several projects in parallel  
# ?0 B/ C% s3 V2、Run block level implementation for each project, include synthesis, DFT and LEC : v* o7 u, q5 v2 j, Q7 J( Z
3、Support block level physical evaluation  
& u8 D7 Z/ \5 Y. \) L4、co-work with designer and provide block level SDC file 9 f: F" {( H6 _" P2 i
5、co-work with Back-end team for timing signoff$ Q  {7 N; n/ W* `

. c! @) o9 H% I$ D& M% ]! H职位需求:
1 t6 N- j7 a7 u1 e1. 了解集成电路设计的基本流程
0 V$ J4 e& I* _+ L% U2 I2. 相关Synthesis, formal check 和DFT的工作经验。(3A, 3B, 3C精通一项即可) # @2 Y- z" K9 z( [) B4 N+ R2 ~9 }# T
3A. 有超过2~3个项目的synthesis 经验, 用过RTL compiler且熟悉timing的相关知识  
9 n0 Y9 ^; X, s) E, f6 @3B. 有超过2~3个项目的formal check经验,熟悉CPF的low power flow
% f( N6 ]( [8 l# ?1 ~" l3C. 有超过2~3个项目的DFT insertion经验, 用过Mentor DFT的优先考虑
3 `# O2 w7 }( x% d1 C3. 具有良好的英语阅读和书写能力。
回復

使用道具 舉報

34#
發表於 2013-11-29 13:38:21 | 只看該作者
IC PM; I! C8 |9 U- g5 N
公      司:A famous European IC company
7 L) p" i+ L* G工作地点:上海
4 c) c0 X. K) p% A4 \
  ]/ ~; S) C+ {+ j1 G" TRoles and Responsibilities     U- }7 k3 P2 t3 D' R. G
1. Manage ASIC design project according to product development process   
+ W# O' V+ h, t+ K* D- ~     - Coordinate the different resources to deliver the ASIC product in time and with good quality  
" g9 m8 ^4 l3 W( m7 ]: D     - Responsible for the communication of the whole project team  
# r' I0 z7 j0 M* `0 d     - Participates and drives internal review of each development phase and make proper justification  4 S0 ?4 `$ S* V" _4 P
     - Develop and manage project schedule, resource, communication and critical path  6 B7 n& h8 v: n5 e6 _% B. D" S$ M
     - Identify risk and develop mitigation plan with the project team  
( Y- z3 E+ b1 s7 l+ U& k2. Closely work with IC manufacturing and testing / qualification to drive the ASIC products into mass production in time and with good quality 3 p0 X/ @+ ?( N8 M9 \, z* N2 v
3. Work with the financing and control the project budget, J  h* _9 r6 D7 i! B
2 w" C8 S. g- Q' f4 |6 F% s
Qualification Requirement   
; M# f( `) k3 e- Master degree or above in Device Physics, Electrical/Electronics Engineering or equivalent  
. x  v2 @  c! ?; P" w3 `- 4+ years experience in the semiconductor industry in relevant R&D departments.  , J7 i9 g+ D" ]3 K/ [# f
- Preferred to have at least 1 successful tape-out experience as project manager  + ?3 g, H, f! r- b8 Y
- Knowledge of ASIC Design from front-end to back-end (Analog design, RTL, Synthesis, STA, floor-plan, P&R, package, testing, etc.)  
2 `. k/ \7 m7 O1 @3 D- Basic leadership of team for allocation of tasks   
1 U$ ]% p$ T" Z3 J: V9 t6 V. [, w- Management experience with subcontractors  
+ c+ P7 Z2 }2 Q: T7 s: t4 g- Good English, excellent communication skills and team spirit oriented  - m( k) {, w/ \) z( u1 C
- Self motivated, strong communication and interpersonal skills
回復

使用道具 舉報

35#
發表於 2014-1-15 09:44:14 | 只看該作者
数字芯片设计工程师(DFT/综合). d, e- R3 ~1 Z' Y+ P: }
公      司:A mobile chipset semiconductor company& Y  j- W( x" s5 y8 o8 f* ^
工作地点:上海, S' Z  ]% A; E- z: n: W
8 R* R7 {. e! C7 m. k; g
职位描述: ! i1 b( [7 H1 ~0 l
1、To provide and support SYN&DFT work for several projects in parallel  ! l: d% V8 V  R# G/ t8 X: g
2、Run block level implementation for each project, include synthesis, DFT and LEC : @: `4 w  l* z1 a
3、Support block level physical evaluation  % C4 Y4 \/ Y8 e9 v
4、co-work with designer and provide block level SDC file . B& U# E7 {, A
5、co-work with Back-end team for timing signoff; s- B" P" \$ O2 h# R

* ]. j( ]+ V+ c+ x* g* H5 I% c+ G. ]职位需求: + ?- K1 X& U2 j  d
1. 了解集成电路设计的基本流程
0 ?, s1 R6 L# o& M  B2. 相关Synthesis, formal check 和DFT的工作经验。(3A, 3B, 3C精通一项即可) & N' G* \. Z' R4 T5 B& o6 x7 ^
3A. 有超过2~3个项目的synthesis 经验, 用过RTL compiler且熟悉timing的相关知识  
/ D: }2 g+ e' Y7 r: ~9 [6 q3B. 有超过2~3个项目的formal check经验,熟悉CPF的low power flow
9 r9 s$ Y' L1 k, f- t' x3C. 有超过2~3个项目的DFT insertion经验, 用过Mentor DFT的优先考虑 . V) F5 t% I/ r' f& n/ n7 d, i) `
3. 具有良好的英语阅读和书写能力。
回復

使用道具 舉報

36#
發表於 2014-3-6 14:28:16 | 只看該作者
资深数字IC设计工程师(图形图像方向)
" }8 o) c2 r% w% u/ L公      司:A famous IC company; V" B$ I1 G3 B
工作地点:上海: ~& J  s: D9 t
" Z: ^, m3 [- ]5 V# a8 e0 ^
岗位职责:(图形图象处理和视频编解码方向): ( ?( h# d5 J+ c
1、根据市场需求和芯片定位,参与并带领团队完成图形图像处理或视频编解码等复杂IP的设计验证和交付;
# ?& d8 x6 I  O1 F* e2、对项目进度和质量负责,组织具体技术难点或紧急任务的讨论和攻关,协调其他团队共同完成SPEC的制定和收敛;   O, |2 v7 L4 w( h# X+ `

2 _- a2 b' z+ u3 O. |岗位要求:
/ p0 m* I! c- y- [5 [6 T( O1、硕士及以上学历,电子、通信、计算机或微电子专业; : W# f( D1 P5 V0 @( B5 Y
2、有至少两年以上图形图像或视频编解码等领域的IP设计经验; 4 i' d; G0 H1 c! s! U; v
3、具备丰富的图形图像处理或视频编解码等相关领域的系统知识 " R7 v( D3 n$ a+ h2 P
4、具有扎实的数字芯片设计基础,熟悉IC设计的整个流程;
8 m$ f0 Q+ n! \3 b8 T; T5、具有良好的沟通能力,较强的协调能力,以及团队合作意识;
) G1 ~/ h( ~2 z" `6、有团队管理经验者优先考虑;
回復

使用道具 舉報

37#
發表於 2014-3-6 14:28:52 | 只看該作者
数字IC设计工程师  w# ?& L% ^' K8 j) L2 g
公      司:A famous IC company( z" L" _4 L# u& t2 u8 P
工作地点:上海+ ^: v( d/ ~2 P0 j( k

9 s8 C. I( h- P; h5 w6 p  L岗位职责: 5 q4 l9 a+ H- h3 N: r+ _
负责各种IP(图形图像接口、图形图象处理、视频编解码、DDR存储接口、Flash接口、USB接口等)的设计、时钟复位模块的设计或者SOC相关的集成设计、系统设计、架构设计。 0 k! y8 H! g: f. A5 e

4 o. Q3 O; P! D7 p, V8 F职位要求:
! ?6 I) c0 K) t- `1、硕士及以上学历,电子、通信、计算机或微电子专业; 4 [3 l$ a8 H% l. T6 ]$ _% F& O
2、熟练掌握Verilog、SystemVerilog等语言的编程,有扎实的数字电路基础;
/ W. @- i* P6 ^6 ?# X" R3、有1~2年的相关工作经验;
5 m; p9 J; j* x1 h- `5 k( w4、具有较强的学习能力、沟通能力和良好的团队合作精神; 0 ?& M6 e/ R. p
5、在以下相关的模块或接口(其中之一)有一定的工作经验:图形图像接口、图形图象处理、视频编解码、DDR存储接口、 Flash接口、USB接口等 # u; b0 G% a0 Q) {
6、有大型SOC芯片的研发经验者优先考虑。
回復

使用道具 舉報

38#
發表於 2014-3-7 13:12:33 | 只看該作者
Sr. Staff to Principal Engineer/Mgr
* y9 J* I: |$ v5 R" D$ S公      司:A famous IC company/ G% ~7 o& g+ o+ i/ r' ~
工作地点:上海- X* d- c5 D+ d
( }! q3 v( Z" N. j8 \9 b
Job description
9 M4 c) I. n) @( T1 nThe candidate will be responsible for the architecture and ASIC design and co-verification of various 802.11 wireless baseband IPs within current and next generation wireless products. The candidate will work within the local DSP/digital development team and closely with system/simulation/verification/RF engineering teams in US to develop and implement DSP/digital blocks to build WiFi IPs.
+ t' j0 K, a# U+ E$ _3 x" Z. p$ R
$ w8 I: u( S3 d6 A$ jJob responsibilities includes: spec development and design of DSP/digital blocks, developing co-verification platforms, performing simulations, and solving integration and testing problems during the development, characterization, and production stages of the product. Successful candidate must have the ability to communicate with engineers of various backgrounds: systems, software, digital hardware, RFIC design, and verification
回復

使用道具 舉報

39#
發表於 2014-3-7 13:12:49 | 只看該作者
职位要求% A. W5 p$ s3 Y3 N/ ^3 y, E2 g
?Extensive hands-on experience in the development of WiFi baseband IC design. The candidate must have at least 8-years development experience on WiFi 802.11 a/g/b including minimum 3 years on 802.11n/ac.  7 [6 @1 ~& o) l. Z* W1 P5 l
?Deep knowledge and good understanding of: digital communication theory, information theory; specifically on: equalization, Fourier transform, spatial-temporal coding, linear and maximum-likelihood estimation, Viterbi decoding, frequency/ timing estimation and calibration, automatic gain control, transmitter beam forming, diversity combining, and their high-speed DSP/digital implementation.  
* E0 {& G1 d6 i4 e4 y: k?Extensive experience with RTL programming languages. ( N+ B6 v4 `, a/ F3 P6 s2 m
?Experience with verification methodologies and tools and advanced complex RTL/C test-bench developments. The familiarity with UVM environment is a plus.
5 U2 U3 g# X! A- J  i6 B?Experience with developing algorithms in C, C++, and Mat lab.
# W' Q  i6 [# ~5 n?Experience with scripting language such as Perl, Python.
7 K' J' b% B- \  @2 h+ M?Must have experience with lab testing and characterization of digital sub-systems.
. @" h6 r6 }7 J  ?" S- `  \?Candidate must have strong English communication skills with willingness to interact with various groups within the company.0 j$ Q6 I3 i, a' Y( P+ `
?Experience with physical design flows, tools, methodologies, and development of timing constraints is a plus.( T* Y6 Z: \, t$ }1 S) @3 b7 ^6 d% H
?Familiarity with flows and tools for co-simulation of RTL and C models is a plus. ) G! u4 }% W3 h9 @  P/ k" E# y* A
?Familiarity with testing and integration of RF and baseband systems in the lab is a plus.
. R" B# O' {, h# t" P! Z?Experience with implementation of calibration modules for RF/Analog blocks is a plus.. 7 O9 u' ~% r- d& j2 C; o$ d
?Typically requires a Master degree and 8 years of experience or a PhD and 5 years, in VLSI/ASIC architecture design or ASIC implementation of digital signal processing function.
回復

使用道具 舉報

40#
發表於 2014-6-4 09:13:19 | 只看該作者
Senior Digital Design Engineer
0 ?0 z) H, W2 ^( Y: ]% S& j5 i# q
公      司:A leading semiconductor company0 V" r' G. K* g, i# |
工作地点:香港( l" V# `$ O2 g$ y# k- J; Y
1 V1 c% `2 r1 l' H
Job Responsibilities:
- y6 d4 ~. e2 X! ~! |0 u0 G- O    Perform logic design, RTL coding, design verification, logic synthesis, DFT and static timing analysis 4 ?% V/ T/ f2 o3 ?+ J0 v# ]
    Develop verification environment and coverage closure 6 X9 H# K2 f: P  Y' H
    Support wafer level testing and silicon evaluation
) V2 @. [& B6 t4 G    Prepare technical documents6 Q1 l( }( i1 M/ e- r
. z; W1 q: W1 E4 t* C
Job Requirements:
0 I4 D0 h: E: O* |    B.Sc or above in Electronic Engineering or equivalent. Applicants with postgraduate degree would be considered as an advantage% @1 h' d6 L. y7 U: o" {  r5 i
    5 Years or above of solid experience in one or more of the following areas: Verilog-based logic design and synthesis, constrained random    testbench with System Verilog & UVM, assertion based design verification or circuit-level SPICE simulations
' |. F' g8 L( u7 I3 }    Knowledge of SoC and embedded system. * U3 Y8 Y2 B3 c
    Knowledge of scripting languages such as Perl, TCL and Make
) }# t( V  `. a8 e8 O9 Y. g    Candidate with less experience will be considered as Digital Design Engineer
回復

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-28 04:10 AM , Processed in 0.200012 second(s), 19 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表