Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 19227|回復: 10
打印 上一主題 下一主題

[問題求助] 除頻器

[複製鏈接]
跳轉到指定樓層
1#
發表於 2013-4-16 20:52:52 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
除2
0 T; a* x$ I2 Y) m" Rmodule div2 (0 F2 B- b5 T( a5 q
11   input      clk,4 r/ t  l& @% A' j5 D. U$ |; m
12   input      rst_n,
. |; q; p5 |- V13   output reg o_clk
' X5 c( F7 l5 h14 );
6 K9 M3 R& g8 V& n15 ( S) Y: _6 h- C) K' j+ _
16 always@(posedge clk or negedge rst_n) begin1 ~: U$ ]8 I" o4 q1 U
17   if (!rst_n)
, ^6 ?" q/ j' c& Y' L1 J18     o_clk <= 0;2 d* |* }* X# A: b; g/ f0 l0 H- }
19   else
' I: _$ R0 h- i8 g20     o_clk <= ~o_clk;4 }' S4 J* {6 `4 H- Z8 H
21 end7 {0 h4 E& H& ~" W
22
, _" c2 h/ o, d  H# L: ~7 L5 Q. b23 endmodule8 y  m7 i* u. K( ^
3 \5 v7 v+ Y, t' n$ C& ]9 z- U$ ?
不懂這在做什麼?
; \: K$ d& ~5 a  h( G5 c$ [( w9 I
) |* s9 l# h( [5 h
除4
6 I; z4 n( g" l1 G+ I% E module div4    (5 t1 g1 Z$ K( O1 p( W
11   input clk,6 U. R$ w7 x- u7 c! W7 h, v6 F# t
12   input rst_n,4 I9 P" O+ I1 \2 P2 V, g
13   output reg o_clk
3 g3 ~+ y' d- Y: N! @0 w; V7 x6 ?14 );  v: X( t% C9 k# F4 u
15
% x0 }1 s; s1 g3 _16 reg [1:0] cnt;
2 _2 k: C2 }7 x; b" u: X17
/ e" s8 o' k0 F; a18 always@(posedge clk or negedge rst_n) begin
2 ]$ I0 d" p- r% |2 u0 ^19   if (!rst_n)
* p+ \- f, U8 [+ e  {20     cnt <= 0;
; P# }- @) J* j21   else if (cnt == 3) // 0 ~ 37 J1 Z) {6 z/ o  H! g
22     cnt <= 0;
  ?; T, s3 R& c1 }, n9 G23   else
3 Z! j" y( _6 v24     cnt <= cnt + 1;+ k! E5 c+ {# m1 m4 W" y" t+ w
25 end
) c3 a* s& G% R4 S8 y26 9 U3 }3 c# C1 A3 q$ {% @
27 always@(posedge clk or negedge rst_n) begin( {/ O* n3 T. g6 H2 J8 ~% j0 `
28   if (!rst_n)1 B% B; ]# o* j% F  m0 s
29     o_clk <= 0;3 i6 x, h, {& @8 B: N/ X0 ^& X
30   else if (cnt < 2) // 0 ~ 1) x1 }) r. S1 i  F' [& j& l; Z8 [
31     o_clk = 0;
; j9 Z6 w/ v, Z8 d4 r32   else              // 2 ~ 3
& e  S! k9 G& }6 x, c3 O33     o_clk = 1;   
3 Z* G" y* _$ l' d0 t34 end7 i$ e$ U+ Z: b4 z1 m- D
35 endmodule8 o/ [% b3 s/ C+ Y- D
! j4 ~9 i+ i, j* n( |8 w
不知道這些程式的原理  可以講解一下  3Q
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2013-6-28 13:47:50 | 只看該作者
除26 h; ]. E! R2 U! X: b. V0 U4 m
module div2 (
9 V1 G' u: c2 W4 T5 o+ w  |  input      clk,   // Clock 輸入腳位  a& b6 x; @4 x/ l2 N, Q, m
  input      rst_n, // 重置 輸入腳位/ W% r( H  ~5 Y% C5 q
  output reg o_clk  // 除頻後Clock 輸出腳位
- {; l* U2 R* W" B) ]7 Z );8 b; a8 B7 p1 P  h7 N  S+ |  M

# x% I% A8 a2 x1 S always@(posedge clk or negedge rst_n) begin // posedge 正源觸發;negedge 負源觸發5 s; Y7 T8 e, ?+ ]8 @
   if (!rst_n);$ v$ a- d) M) w2 n. V0 O
     o_clk <= 0; //當rst_n=0時,o_clk=0
' n/ ]' [  R, r# P! e- u' K0 [* Q   else2 ~+ @- V7 K* ?$ s5 r
     o_clk <= ~o_clk; // o_clk 反向(not)' G; X9 v5 U' d0 B, X0 f& Z
end # Z3 ^6 j9 a" y6 u
endmodule //endmodule程式結束
+ C8 b% o2 q9 z. H: j# y4 N! z; u: I6 S- N  S# n( O1 L% ^

3 c9 v1 c8 R6 z- h* X8 ^- @: A3 L6 j' ^) `6 ~5 `
有錯請指正!

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有帳號?申請會員

x
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-9-22 12:08 PM , Processed in 0.172009 second(s), 20 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表