Chip123 科技應用創新平台

 找回密碼
 申請會員

QQ登錄

只需一步,快速開始

Login

用FB帳號登入

搜索
1 2 3 4
查看: 8301|回復: 1
打印 上一主題 下一主題

[問題求助] Xilinx ISE Post-sim發生的問題

[複製鏈接]
跳轉到指定樓層
1#
發表於 2009-3-20 16:17:04 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
各位先進好,( f7 P! q# w5 e" p* j+ ?. o2 }3 U
我在使用Xilinx ISE碰到了一些狀況:; ^( P/ ?2 z$ |4 `; C% \
問題一:
3 s7 e% ^( z& y; v- M, i: f在使用Synplify合成之後(只有設定freq. constraint),
9 ]6 \& ?2 X5 P: s8 [- c! s, z在跑接下來的implementation的結果,
+ d9 q% Y! c7 n- l6 c0 E4 }5 kP&R的timing的分析,slack都是正的,
, _" L7 w5 o) w1 j但是P&R的模擬下,在testbench模擬時卻有訊號還是會Violation,4 c' V" p7 \7 T3 N5 w1 A0 E
不知道原因為何?因為試了很久還是摸不著頭緒!9 X: g3 K6 Z1 A% X7 |
1 r2 r3 S5 F0 f! f- [, {
問題二:
: `7 i4 g6 Z: R/ M同問題一,就是這個module我們包了四個於Top module,$ f. ~- z; s- _# o3 n
其中,四個當中的結果,也許會有一個Violation發生,
0 i9 r0 r3 i  M! V' [+ l4 `也許會有一個沒看到Violation但是輸出訊號都是0,5 S$ B% c3 F, P
也許會有正確的情況。
* S) u+ e1 l, Z) w- O( r+ z5 d0 ~現在就是沒辦法讓四個同樣的東西同時Post-sim正確,6 }) l$ N# Z; y% D6 K' ?
苦惱中呀!
" N7 T+ p6 l( Q. H! z. \8 g- P$ {+ O/ h, U  [0 Q) q' M
在各位先進百忙之中,感謝你們的回答與經驗指教!
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享分享 頂 踩 分享分享
2#
發表於 2009-4-5 23:11:49 | 只看該作者

僅供參考

問題一:' w% T* o# l6 x* J
    是否與test bench有關?% g% b* p+ m. M( e9 M+ @
    P&R timming report跟test bench都是由你提供的, 請檢查一下這二則的設定值
4 G3 Y) M1 U- L, Z' U2 [  r7 ^問題二:- t2 |; P/ H8 a4 H+ w: t' ^3 V( c
    同問題一9 U. G4 |8 X2 S! n7 ^" c

8 I9 x4 I2 K7 y/ n9 X* R/ v6 T; o當然最好是找跟你配合的FAE討論, 至少可以一起找問題
您需要登錄後才可以回帖 登錄 | 申請會員

本版積分規則

首頁|手機版|Chip123 科技應用創新平台 |新契機國際商機整合股份有限公司

GMT+8, 2024-6-17 07:49 PM , Processed in 0.119015 second(s), 18 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回復 返回頂部 返回列表